• Non ci sono risultati.

Il tipo di a.real `e un integer, poich`e integer `e il tipo dell’elemento real del segnale complex. Tutti gli operatori previsti dal tipo integer possono essere utilizzati sull’elemento real.

4.1

Aggregazione

Per assegnare i valori a tutti gli elementi di un record si utilizza una nota- zione chiamata aggregazione, ossia una collezione di valori. Un esempio di aggregazione `e: a <= (real => 0, imag => 0);. Questa `e la forma com- pleta, in cui ciascun elemento `e esplicitamente nominato ed associato ad un valore. Il simbolo => `e detto finger e associa il valore che segue con il nome dell’elemento nel record.

La modalit`a di funzionamento di un aggregazione prevede che l’espressione (real => 0, imag => 0) crei un valore temporaneo di tipo complex, e che questo valore temporaneo sia quindi assegnato al segnale come se si trattasse dell’intero record.

Una forma di aggregazione pi`u semplice, utilizza la notazione posizionale. I valori degli elementi sono semplicemente scritti nello stesso ordine degli ele- menti definiti della definizione del record. Ad esempio: a <= (0, 0); L’aggregazione pu`o anche essere utilizzata per unire segnare al fine di asse- gnarli ad un record. Ad esempio, supponendo di avere due segnali r ed i di tipo integer e di doverli assegnare al segnale a di tipo complex. Ci`o pu`o essere fatto con un unico assegnamento, sfruttando l’aggregazione: a <= (real => r, imag => i);

L’operazione inversa pu`o essere eseguita usando un’aggregazione come obiet- tivo di un’assegnazione. L’operazione non `e per`o cos`ı semplice: l’analizzatore VHDL non pu`o estrarre il tipo associato alla assegnazione target perch`e normalmente si agisce all’opposto; il tipo del target `e utilizzato per deter- minare il tipo del valore che gli sar`a assegnato. Ad esempio, l’aggregazione (real => r, imag => i) `e riconosciuta di tipo complex perch`e `e stata as-

segnata ad un segnale di tipo complex.

Per risolvere la questione, il VHDL utilizza il concetto di type qualification. Si tratta di una modalit`a per dire all’analizzatore VHDL di che tipo sia un’e- spressione, evitando cos`ı l’ambiguit`a. L’uso della type qualification `e sempre richiesto esplicitamente quando si assegna qualcosa ad un aggregazione. Si consideri il seguente esempio, i segnali (integer) r e i possono essere assegnati a partire dal segnale (complex) a in un solo assegnamento:

complex’(r, i) <= a;

Il type qualification non ha effetto sul circuito modellato, `e solamente una guida per l’analizzatore al fine di risolvere l’ambiguit`a nel tipo dell’assegna- zione. Nello specifico, i segnali i e r sono stati raggruppati assieme in un’ag- gregazione. Il type qualification (ossia il costrutto complex’) specifica il tipo di segnale che sar`a passato, in questo caso di tipo complex. All’aggregazione `

e quindi assegnato il valore del segnale a; l’effetto complessivo `e lo stesso del tener separate le due istruzioni:

r <= a.real; i <= a.imag;

5

Array

Un array `e una collezione di elementi, tutti dello stesso tipo. A differenza dei record, gli elementi di un array sono acceduti attraverso un indice, sia esso un intero sia un tipo enumerativo.

Un array pu`o essere vincolato o non vincolato. Un tipo `e non vincolato se la sua dimensione non `e specificata: di fatto definisce una famiglia di sotto- tipi array, tutti con lo stesso tipo di elementi, ma con dimensione diversa. Viceversa, in un array vincolato sia il tipo di indice sia il suo range sono completamente definiti.

Nel VHDL, gli array vincolati sono implementati come sottotipi di un tipo array ‘anonimo’ non vincolato. Un tipo anonimo `e un tipo senza nome, che non pu`o essere quindi utilizzato direttamente dall’utente, ma che esite come

3.5 Array 93 supporto per l’analizzatore sintattico. In questo senso, tutti i tipi di array in VHDL sono non vincolati. Ad ogni modo, poich`e - come detto - non `e possibile riferirsi direttamente al basetype anonimo, l’utente pi`o utilizzare solamente il sottotipo vincolato.

In pratica, un array non vincolato `e utilizzato dal linguaggio per la definizione di altri tipi di dato. Ad esempio, il tipo predefinito bit vector definisce un array di bit non vincolato:

type bit_vector is array (natural range <>) of bit;

Il simbolo <> `e noto come box ed indica un range non vincolato. Il resto della dichiarazione dell’indice evidenzia che lo stesso varia in un range di valori appartenenti al sottotipo natural, in questo modo non sono permessi indici negativi.

Di fatto, l’utente utilizzer`a poi, all’atto della dichiarazione di un segnale, un’istanza vincolata del sottotipo:

signal a : bit_vector (3 downto 0);

L’istruzione sovra-riportata definisce un segnale di quattro elementi, indi- cizzati dal range 3 downto 0: tecnicamente, si definisce descending range; significa che il primo elemento dell’array `e l’elemento numero 3, il secondo `

e l’elemento 2, e cos`ı via. L’uso di un range discendente `e una prassi molto comune nella modellazione circuitale, ma ha una spiegazione ben precisa: il suo uso `e associato ai bus di dati e alla rappresentazione di interi. In questi casi, il bit pi`u significativo (MSB ) `e quello pi`u a sinistra ed ha l’indice pi`u alto, viceversa il bit meno significativo (LSB ) `e quello di indice zero. Gli array vincolati possono usare indifferentemente indici con range ascendenti o discendenti.

Un modo alternativo di ottenere lo stesso effetto `e dichiarare un sottotipo vincolato di un array non vincolato, e quindi dichiarare il segnale come ap- partenente a detto sottotipo. Tale concetto si ottiene con la seguente coppia di istruzioni:

subtype bv4 is bit_vector (3 downto 0); signal a : bv4;

Ogni segnale che `e stato definito come bit vector o di qualsiasi suo sottotipo ha come basetype bit vector. I sottotipi possono avere qualsiasi lunghezza. Poich`e il basetype non varia, segnali di differente lunghezza possono essere utilizzati contemporaneamente nella stessa espressione, senza causare un er- rore. Ad ogni modo, `e richiesto che quando un array `e assegnato ad un altro array, i due array siano della stessa misura.

Un esempio `e la concatenazione di due bus a formarne uno unico, si supponga di avere due segnali D0 e D1 entrambi definiti come bit_vector(3 downto 0) e il segnale D, definito come bit_vector(7 downto 0), rappresentante la concatenazione dei due bus (ad esempio della parte alta e della parte bassa di un dato ad 8-bit); `e possibile scrivere - e simulare senza alcun problema - la seguente istruzione:

D <= D0 & D1;

nella quale al segnale D sono assegnati i valori dell’array D0 nel range [7..4] (rispettivamente: 3 => 7, 2 => 6, 1 => 5, 0 => 4) e i valori dell’array D1 nel range [3..0] (rispettivamente 3 => 3, 2 => 2, 1 => 1, 0 => 0). Gli elementi di un array possono essere acceduti staticamente, ad esempio con un’istruzione tipo: a(0) <= ‘1’, o dinamicamente: l’array `e acceduto dal valore attuale del suo indice, ad esempio con un segnale anzich`e un intero. Ad esempio:

signal item : natural range 0 to 3; a(item) <= ‘0’;

I soli operatori disponibili nel tipo array sono gli operatori di comparazione (=, /=, <, <=, >, >=) e di concatenazione (&). L’operatore d’uguaglianza (=) verifica l’uguaglianza di ogni singolo elemento dei due array, il confronto avviene elemento per elemento, iniziando sempre da sinistra a destra. Gli operatori di ordinamento forniscono un ordinamento di array di lunghezze differenti.

3.5.1 Aggregazioni 95