• Non ci sono risultati.

Fabrication and characterization of carbon nanotube-based vacuum triode

N/A
N/A
Protected

Academic year: 2021

Condividi "Fabrication and characterization of carbon nanotube-based vacuum triode"

Copied!
201
0
0

Testo completo

(1)

UNIVERSITÀ DEGLI STUDI DI ROMA

"TOR VERGATA"

FACOLTA' DI INGEGNERIA

DOTTORATO DI RICERCA IN INGEGNERIA DEI

SISTEMI SENSORIALI E DI APPRENDIMENTO

CICLO DEL CORSO DI DOTTORATO XXI

Fabrication and characterization of carbon nanotube-based

vacuum triode

RICCARDO RICCITELLI

A.A. 2007/2008

Tutor: Prof. A. Di Carlo

Dr. A. Reale

(2)

                      “Mille anni al mondo mille ancora   che bell'inganno sei anima mia  e che bello il mio tempo   che bella compagnia  sono giorni di finestre adornate   canti di stagione  anime salve in terra e in mare […]  ore infinite come costellazioni e onde  spietate come gli occhi della memoria  altra memoria e non basta ancora  cose svanite   facce   e poi il futuro …”  Anime Salve – Fabrizio De André ‐ Anime Salve (1996)   

(3)

 

Abstract 

This  three  years  work  dealt  with  the  fabrication  and  characterization  of  carbon  nanotube‐based  vacuum  triode.  By  reporting  the  manufacturing  aspects  and  the  related  problems  of  the  most  widely  investigated  field  emission  devices,  Spindt‐type  arrays,  innovative  materials  like  Carbon  Nanotubes  (CNTs),  Silicon  Nanowires  (SiNWs)  and  some  promising  Metal  Oxide Nanostructures (namely ZnO, CuO, WO3, SnO2) have been shown and 

described  as  potential  materials  for  the  realization  of  field  emission  cathodes. As a result, their relative figures of merit in diode characterization  in  terms  of  turn‐on  electric  field,  threshold  electric  field,  current  densities,  emission stability and field enhancement factor have been carried out.   Technological  processes  for  the  design  and  the  fabrication  of  carbon  nanotube‐based  nanotriode  with  high  field  enhancement  factor  have  been  investigated. 

Problems  correlated  to  the  behavior  of  the  device  in  high  frequency  characterization  have  been  evaluated  and  possible  solutions  devised  to  overcome them have been analyzed and proposed.   

Results  obtained  in  this  work  contributed  to  two  publications,  a  review  chapter, six proceedings and two patents and it has permitted at our group  to  participate  as  coordinator  at  the  project  OPTHER  (Optically  Driven  TeraHertz Amplifiers), financed by FP7 in 2008.  

 

(4)

 

Abstract 

Il lavoro svolto in questi anni di dottorato si è focalizzato sulla realizzazione e  caratterizzazione  di  un  triodo  su  scala  micro/nanometrica.  Il  dispositivo  in  questione,  in  cui  il  fascio  elettronico  è  ottenuto  a  partire  da  un  catodo  freddo realizzato con nanotubi, fonde in se le caratteristiche e le proprietà  sia dei dispositivi a stato solido, sia dei dispositivi valvolari, offrendo da un  lato un’elevata resistenza fisico‐termica, la capacità di miniaturizzazione, gli  elevati tempi di vita e il peso ridotto, dall’altro alte frequenze e potenze di  uscita.  In  questa  attività  sono  stati  messi  a  punto  i  passi  tecnologici  e  di  processo  relativi  alla  realizzazione  del  dispositivo,  è  stato  studiato  ed  analizzato  il  comportamento  fisico  dei  catodi  freddi  realizzati  con  nanotubi  di  carbonio  (CNTs),  nanowires  di  silicio  (SiNWs),  o  nanorods  di  Ossido  di  Zinco  (ZnO).  Sono  state  inoltre  valutate  le  problematiche  relative  al  funzionamento del dispositivo in frequenza e sono state proposte soluzioni a  tal  proposito.  I  risultati  ottenuti  in  questo  lavoro  si  sono  concretizzati  in  2  pubblicazioni su rivista, un articolo di review, 6 proceedings e 2 brevetti. Le  competenze  acquisite  durante  questo  lavoro  di  dottorato  hanno  inoltre  consentito  di  implementare  il  Progetto  Europeo  FP7  OPTHER,  (Optically  Driven TeraHertz Amplifiers), finanziato dalla comunità Europea nel periodo  2008‐2011 di cui il nodo di Roma risulta essere coordinatore. 

(5)

 

Table of contents 

Abstract  i  Table of contents  iii  Acknowledgements  vii  Background and Motivation  ix  List of publications and activities   xv       

Field emission vacuum devices for electronic  

 

 

application

 

1.1  Field emission and Fowler‐Nordheim equation 1.2   Field Emitter Arrays  12  1.2.1  Field emission from microtips  12  1.2.2  Spindt‐type cathodes  17  1.3  Novel Cold Cathode Materials  24  1.3.1  Carbon Nanotubes  25  1.3.2  Application: Carbon nanotubes for vacuum electronics  29  1.4  Other alternative emitters  35  1.4.1   Silicon Nanowires (SiNWs)  35  1.4.2  Metal Oxide Nanostructures  37    References  41 

 

 

(6)

 

Field Emission from nanostructured materials  

47 

 

 

  2.1  CNTs synthesis process  48  2.1.1   HF‐CVD – Hot Filament CVD  51  2.1.2  PE‐CVD – Plasma Enhanced CVD  54  2.2  Carbon Nanotube‐based nanotriode characterization  62  2.2.1  Field emission set‐up  62  2.2.2  Carbon nanotubes characterization  64  2.2.3  Measurement procedure  74  2.3  Silicon Nanowires  75  2.3.1  Synthesis process  75  2.3.2  SiNWs characterization  76  2.4  ZnO Nanorods  81  2.4.1  Synthesis process  81  2.4.2  ZnONRs characterization  82    References  86 

 

 

 

Carbon nanotube‐based vacuum triode 

89 

 

 

  3.1  Fabrication process of the device in Spindt‐type configuration  90  3.2   Fabrication process of the optimized device  93  3.2.1  Technological phase 1  94  3.2.2  Technological phase 2  97  3.2.3  Technological phase 3  100 

(7)

  3.3  Flip‐Cathode Configuration  105  3.3.1  Overview of the patent  106  3.3.2  Technological process  108  3.4  Characterization of the Flip‐Cathode Vacuum Triode  114    References  118 

 

 

 

Design and Simulation of CNT based 

 

 

vacuum triodes for high‐frequency regime 

119   

 

  4.1  Frequency limit of conventional Spindt‐type triodes  120  4.2  The Cross‐bar concept  123  4.3   Microwave characterization of the device  127  4.3.1  Simulation results in CST Microwave suite  127  4.3.2  Coplanar Waveguide Vs. Microstrip Solutions  130  4.3.3  Array structure  138  4.4  Other novel vacuum tubes for THz frequency range  142  4.4.1  THz sources and the problem of THz gap  144  4.4.2  THz vacuum electronic devices  147  4.4.3  Carbon nanotube‐based THz vacuum electronic devices  149  4.4.4  OPTHER project  151    References  156       

 

 

 

(8)

 

Identification of the technological steps and 

the measurement system for high‐

     

frequency triodes

159   

 

  5.1   Lithographic Mask  160  5.1.1  Bonding techniques  163  5.1.2  Getters  165  5.2  Design of new high frequency measurement vacuum system  168    References  175             

Conclusions

177       

 

 

 

 

 

(9)

 

Acknowledgments 

“… E adesso aspetterò domani   per avere nostalgia 

 

signora libertà signorina fantasia  così preziosa come il vino   così gratis come la tristezza   con la tua nuvola di dubbi e di bellezza …” Se ti tagliassero a pezzetti – Fabrizio De André – Indiano (1981)   E’  giunto  il  momento  dei  ringraziamenti  e  almeno  questi,  dove  posso,  li  faccio  in  italiano.  Sono  molte  le  persone  che  direttamente  o  indirettamente  hanno  contribuito,  anche  a  loro  insaputa,  affinché  questo  lavoro  venisse  pensato,  affrontato  e  realizzato.  Il  primo  ringraziamento  va  inevitabilmente  al  Prof.  Aldo  Di  Carlo,  “The  Boss”.  Molteplici  sono  le  cose,  le  occasioni  e  gli  avvenimenti  che  mi  vengono  in  mente  in  questo  momento,  che  hanno  contraddistinto  questi  anni  di  dottorato e che spero di racchiudere in un GRAZIE di cuore, soprattutto per essere  stato  artefice  e  complice  di  questo  mio  desiderio  di  rendere  reale  una  “febbre”  esplosa durante il periodo di tesi. Al Dr. Andrea Reale, con il desiderio e la speranza  di  far  mie,  l’imbarazzante  disponibilità  e  l’abnegazione  incondizionata  in  tutto  quello che fa, a tutti i livelli, in questo mondo, un vero esempio. Se poi tutto questo  va a discapito dell’organizzazione e della puntualità, pazienza. A Francesca Brunetti,  che prima di me ne ha seguito l’esempio e se ne vedono in maniera evidente i frutti.  Non basterebbe una tesi intera per esprimerti i ringraziamenti per tutto quello che  hai fatto in questi anni e che continui a fare. Ti basti sapere che tutto quel poco che  so e che ho realizzato in questi anni lo devo a te. Un grazie particolare va a Giacomo  Ulisse, per i continui confronti e per tutto l’aiuto dimostrato, con la consapevolezza  che non poteva esserci persona migliore in grado di continuare questo lavoro.   Un  sentito  ringraziamento  va  a  i  coordinatori  di  dottorato  di  questi  tre  anni  Prof.  Riccardo Marino e Prof. Corrado Di Natale. 

Un doveroso ringraziamento va anche a tutti i ragazzi che, anche per il solo periodo  di tesi, hanno dato e continuano a dare un contributo in questo lavoro, come Luca,  Fabrizio,  Damiano,  Antonino,  Mauro,  Remo,  Andrea,  Francesco  e  tutte  le  straordinarie persone incontrate in questi anni, che fanno dell’Optolab (e non solo),  un  posto  veramente  familiare,  come  Giuseppe  Romano,  Gabriele  Penazzi,  Fabio  Sacconi, Alessandro Pecchia, Matthias Auf der Maur, Stefano Bellocchio, Giorgia Di  Lorenzo,  Francesca  Buccarello,  Monica  Coppola,  Mauro  Mineo,  Alessio  Gagliardi, 

(10)

 

Desiree Gentilini, Giuseppe Latessa, Lyuba Prokopova, Stefano Russo, Mario Arcari,  il mio vecchio maestro Pietro Regoliosi, Claudio Paoloni e la “socia” Claudia Bettiol.  Grazie  a  questo  lavoro  ho  avuto  la  possibilità  di  interagire  con  diversi  gruppi  di  ricerca  e  soprattutto  conoscere  persone  eccezionali  da  ogni  punto  di  vista.  Un  ringraziamento  speciale  al  gruppo  della  Prof.ssa  Terranova  del  Dipartimento  di  Chimica, ed in particolare a Silvia Orlanducci, Angelamaria Fiori, Emanuela Tamburri,  Francesco  Toschi,  Valeria  Guglielmotti  per  tutto  l’affetto  e  la  disponibilità  dimostrata  in  questi  anni.  Un  grazie  di  cuore  anche  al  gruppo  del  Prof.  Cirillo  del  Dipartimento  di  Fisica,  per  la  continua  stima  dimostrata  ed  in  particolare  a  Massimiliano Lucci, Ivano Ottaviani e Federica Stella.  

Many  thanks  go  to  Prof.  Viktor  Krozer  and  his  group,  for  his  fundamental  help  during my experience in Denmark, in particular his support was determinant for my  approach to simulation studies and so important I remember are those long chats,  discussing about microwave structures, S parameters and Danish life style.   

I would like also to thanks Dr. Rajendra Kumar for his help in clean room processes  and carbon nanotubes’ growth, and to have given me the opportunity to offer my  contribution  and  to  be  his  co‐author  of  the  review  chapter,  really  thanks  you  so  much.  

Un grazie di cuore va necessariamente a quella che è diventato da qualche tempo la  mia  nuova  “casa”,  a  tutto  il  gruppo  dei  ragazzi  che  lavora  o  ha  lavorato  sul  fotovoltaico organico ed in particolare, il Prof. Thomas Brown punto di riferimento  dal  punto  di  vista  scientifico  e  persona  straordinaria,  Massimiliano  Liberatore,  Adalberto  Brunetti,  Simone  Mastroianni,  Fabrizio  Giordano,  Enrico  Leonardi,  Luigi  Salamandra,  Daniele  Colonna,  Giordana  Roma,  Daniele  D’Ercole,  Valerio  Zardetto,  Massimo Cecchetti,  e quello che è diventato ufficialmente il mio gruppo, il “Gruppo  dei Record”, Luigi Vesce, Girolamo Mincuzzi, e Francesco Felici. 

In ultimo, mi tocca ringraziare anche loro. Più passano i giorni, più li guardo e penso  ad  una  massima  sull’amicizia,  descritta  come  una  presenza  che  non  ti  evita  di  sentirti  solo,  ma  rende  il  viaggio,  qualunque  esso  sia,  piacevole  e  di  sicuro  più  leggero. E’ bellissimo trovare il tempo di voltarsi indietro e accorgersi che senza di  loro i percorsi fatti e i problemi superati non sarebbero stati tali. E’ ancora più bello  non  avere  la  minima  certezza  di  quello  che  sarà  il  futuro,  avere  il  coraggio  di  scommettere  su  traversate  ancora  da  fare  e  farlo  con  le  persone  giuste.  Semplicemente loro, Eleonora Stefano. Grazie. 

E’ soprattutto per tutte queste persone che ho ringraziato in queste pagine che in  questi anni non c’è mai stata una mattina in cui mi sia svegliato con il fastidio e la  pesantezza di andare a lavoro.  Grazie di cuore anche per questo, il viaggio in questo  senso è stato indimenticabile. 

(11)

                 

Background and 

Motivation 

       

Field  emission  was  described  for  the  first  time  at  the  end  of  the  19th  century.  Many  experiments  in  the  1920s  showed  clearly  that  this  emission  occurred  under  high  electric  field  and  was  not  related  to  thermoelectronic  emission.  

In 1928, Fowler and Nordheim used the concepts of quantum mechanics to  estimate the current drawn from a metal surface by elastic tunneling under  high electric fields: their model is still widely used today, and its derivation  will be considered in detail in section 1.  

(12)

 

Following  the  development  by  Müller  of  the  field  emission  microscopy  in  1938  and  the  field  ion  microscopy  in  1956,  field  emission  was  extensively  employed as one of the most powerful techniques in surface physics. Field  emission was also used by Young in his “topografiner”, the forerunner of the  scanning  tunneling  microscope.    However  electron  sources  based  on  field  emission  did  not  find  their  use  in  scanning  probe  microscopes  but  in  conventional electron microscopy. Most high‐end electron microscopes take  part  of  the  low  energy  dispersion  and  high  brightness  of  cold  or  Schottky  field emission sources to reach high resolutions.  

In  contrast  to  the  commonly  used  thermionic  emission  based  on  a  hot  filament,  field  emission  from  an  unheated  “cold”  cathode  is  activated  by  electron  tunneling  effect  through  a  field‐thinned  barrier  at  room  temperature. The effectiveness of field emission phenomenon is revealed by  the  lowering  of  power  efficiency  consumption  respect  to  thermionic  emitters  which  requires  heating.  In  addition,  field  emission  sources  also  offer several attractive characteristics such as the instantaneous response to  field variation, the resistance to temperature fluctuations and radiation, the  high collimation of emitted beam, a good on/off ratio, the ballistic transport  and  a  nonlinear  current‐voltage  relationship  in  which  a  small  change  in  voltage  results  in  a  large  change  in  emission  current.  Field  emission,  however,  requires  a  very  large  local  field  of  a  few  V/nm  to  obtain  useful  currents for applications, so that practical cold cathodes utilize the local field  enhancement at the apex of a tip or protrusion and/or a low‐work function  material to lower the threshold voltage enabling emission and opening the  way of so called field emission vacuum microelectronics. 

Serious investigation took off in the late 1960s, when Spindt‐type cathodes  also  known  as  Spindt‐type  field‐emitter  arrays  (Spindt  FEAs)  were 

(13)

 

developed.  These  are  basically  microfabricated  Molybdenum  tips  in  gated  configuration.  Subsequently,  Silicon  microtips  arrays  were  fabricated,  and  silicon vacuum microtriodes were introduced. The Mo and Si microtip FEAs  were  then  developed  for  large‐area  addressable  electron  emitters,  for  prototype  field  emission  displays  (FEDs).  High  resolution  displays  based  on  this  novel  technology  were  being  produced  by  various  commercial  organizations and demonstrated since the beginning of the 1990s. Although  they  have  not  been  successfully  made  to  become  popular  household,  the  research  on  cold  cathode  has  become  a  main  stream  activity  in  solid  state  chemistry and physics. 

Initially  vacuum  devices  were  developed  in  the  form  of  diode,  triode,  tetrode,  pentode,  that  are  being  used  as  high  power  amplifiers  and  oscillators  at  low  RF  frequency.  Subsequently  ultra‐high  vacuum  devices  were developed in the form of magnetrons, klystrons, travelling wave tubes  (TWTs), backward‐wave oscillators (BWOs), for their high power applications  at microwave frequencies up to 100GHz. These microwave vacuum devices  called slow‐wave devices, have the particularity that the RF wave is slowed  down to nearly 1/3rd to 1/10th of light velocity for its synchronism with the  accelerated  electron  beam  in  an  ultra‐high  vacuum  enclosure.  During  this  synchronized  interaction  of  accelerated  electron  beam  with  the  slowed  down  RF  wave,  part  of  the  dc  energy  of  the  accelerated  electron  beam  is  transferred  to  the  RF  wave  leading  to  amplification/generation  of  high  power RF wave. Dimensions of these vacuum devices reduce with increasing  RF  wave  frequency.  Therefore,  the  fabrication  of  these  vacuum  devices  at  high frequencies beyond 100GHz is hampered by the ability of the present  manufacturing  technology  because  of  the  reduced  dimensions  of  critical  components,  such  as  RF  structures  and  electron  guns.  Alternatively,  fast 

(14)

 

wave vacuum devices such as Gyro devices, FEL (Free Electron Laser) were  developed  for  the  generation  and  the  amplification  of  very  high  power  RF  waves  even  up  to  200GHz  and  beyond,  but  these  devices  are  very  large  in  size and complex. 

This  led  to  the  urgency  to  develop  compact  vacuum  devices  at  terahertz  (THz) frequencies, above 100GHz, for their enormous applications for wide  band  communication,  imaging  radars,  spectroscopy,  and  in  many  more  unexplored  areas  of  scientific,  industrial  and  medical  applications.  THz  devices  provide  added  diagnostic  tool  for  surface  or  small  depth  imaging  that  is  very  useful  for  security  purpose,  medical  and  agriculture  field.  At  present,  semiconductor  devices  cannot  replace  the  vacuum  devices  at  THz  frequencies  for  power  level  even  at  few  mW.  Fundamental  drawback  of  semiconductor  devices  at  THz  frequency  is  that  electron  transport  is  impeded  by  the  silicon  crystal  lattice,  which  places  a  limit  on  both  the  miniaturization and the switching speed of such devices. A possible solution  to  this  is  therefore  to  create  an  active  electronic  device  which  relies  on  electron transport through vacuum. 

Although  recent  developments  in  microelectronics  and  micro‐electro‐ mechanical  systems  (MEMS)  technology  are  being  explored  for  fabrication  of small‐size RF structure and FEA cold cathode, a lot of work still has to be  done.  The  importance  to  develop  small  size  vacuum  structures  bring  to  consider  new  appropriate  materials  as  possible  candidates  to  be  used  as  cold cathodes in the fabrication of the device.  In this direction, by exploiting  their  unrivaled  aspect  ratio  and  high  robustness,  1Dimensional  nanostructures  like  carbon  nanotubes,  semiconductors  nanowires  and  metal‐oxide nanostructures have been widely investigated as promising field  emitters.  In  the  last  15  years  in  fact,  research  on  field  emissions  has  been 

(15)

 

mainly focused on such structures: hundreds papers on the argument testify  the worldwide effort in measuring, understanding field emission from such  nanostructures, as well as in the realization of field emission devices. 

This  dissertation  contains  the  results  of  a  three  year  investigation  in  the  direction of the fabrication and characterization of carbon nanotube‐based  vacuum triodes. It begins with the analysis of the Fowler‐Nordheim equation  and an overview on the field emission devices is reviewed.  By reporting the  manufacturing  aspects  and  the  related  problems  of  the  most  widely  investigated  field  emission  devices,  Spindt‐type  array,  innovative  materials  like  Carbon  Nanotubes  (CNTs),  Silicon  Nanowires  (SiNWs)  and  some  promising  Metal  Oxide  Nanostructures  (namely  ZnO,  CuO,  WO3,  SnO2)  will 

be  shown  and  described  as  potential  materials  for  the  realization  of  field  emission cathodes. 

Subsequently,  starting  from  the  analysis  of  the  synthesis  process,  field  emission behavior of these high field enhancement factor materials as CNTs  are investigated. In particular the characteristics and the physics behind the  synthesis techniques used in this work to perform the CNTs growth, namely  Hot  Filament  Chemical  Vapour  Deposition  (HF‐CVD)  and  Plasma  Enhancement  Chemical  Vapour  Deposition  (PE‐CVD)  are  described.  Characterization  in  diode  configuration  is  then  evaluated  in  terms  of  field  emission behavior. 

Thereafter  technological  processes  for  the  design  and  the  fabrication  of  carbon nanotube based nanotriode with high field enhancement factor are  investigated.  Afterward the devices manufactured are measured in terms of  field emission behavior in triode configuration by evaluating the modulation  behavior and the extrapolation of the characteristic parameters.   

(16)

 

The optimized flip‐chip structure is then studied using the CST simulator in  order  to  obtain  a  complete  microwave  characterization  of  the  small‐signal  properties in terms of S‐parameters of the device.  

Finally,  the  aspects  of  this  work  related  to  world  of  high  frequency  are  discussed.  In  particular  the  attention  is  focused  on  the  design  of  a  new  lithographical  mask  where  the  realization  of  a  packaged  and  integrated  device  is  considered  a  milestone  in  the  fabrication  of  a  high  frequency  device.  The  other  important  design  activity  regards  the  project  of  the  new  high  frequency  measurement  vacuum  system  in  order  to  avoid  parasitic  elements  that  typical  limit  the  performances  of  the  device  in  a  frequency  characterization.  In  this  direction  a  new  patented  device  design  with  an  innovative  geometry  for  high  frequency  applications  is  proposed  and  detailed and the state of art related to other vacuum tubes operating in THz  frequency range are overviewed and described.  

(17)

 

List of publications and 

activities 

List of Publications  

‐ R.  Riccitelli,  A.  Di  Carlo,  A.  Fiori,  S.  Orlanducci,  M.L.  Terranova,  A.  Santoni,  R.  Fantoni,  A.  Rufoloni,  F.J.  Villacorta  “FIELD  EMISSION  FROM  SILICON  NANOWIRES: CONDITIONING AND STABILITY”, Journal Applied Physics. 102, 5  (2007) 

‐ F.  Brunetti,  R.  Riccitelli,  A.  Di  Carlo,  A.  Fiori,  S.  Orlanducci,  V.  Sessa,  M.L.  Terranova, M. Lucci, V. Merlo, M. Cirillo ‐ “FLIP‐CATHODE DESIGN FOR CARBON  NANOTUBE BASED VACUUM TRIODES” ‐ IEEE Electron Device Letters, Vol. 29,  No. 1, January 2008 

‐ Review  chapter  entitled  “Metal  oxide  nanostructures  for  field  emission  application”    in  the  book  series  on  Metal  Oxide  Nanostructures  and  Their 

Applications, R.T. Rajendra Kumar, R. Riccitelli, K. Senthil, American Scientific 

Publishers, 2009 (In Press) 

International Conferences

 

FIELD EMISSION PROPERTIES OF SELECTED SINGLE WALL CARBON NANOTUBE  SAMPLES – A. Fiori, S. Orlanducci, V. Sessa, E. Tamburri, M.L. Terranova, A. Di  Carlo,  A.  Reale,  F.  Brunetti,  P.  Regoliosi,  R.  Riccitelli,  A.  Ciorba,  M.  Rossi  –  Applied Diamond Conference Nanocarbon 2005 ‐ Argonne May 2005 

TOWARDS THE REALIZATION OF A MULTIELECTRODE FIELD EMISSION DEVICE:  CONTROLLED  GROWTH  OF  SINGLE  WALL  CARBON  NANOTUBE  ARRAYS  –  F.  Brunetti, A. Di Carlo, R. Riccitelli, A. Reale, P. Regoliosi, M. Lucci, A. Fiori, M.L. 

(18)

 

Terranova, S. Orlanducci, V. Sessa, A. Ciorba, M. Rossi, M. Cirillo, V. Merlo, P.  Lugli, C. Falessi – Microtechnologies for the new Millenium (SPIE) 2005 Sevilla  Spain, May 2005 

REALIZATION OF CARBON NANOTUBE‐BASED TRIODE  – F. Brunetti, P. Lugli, A.  Fiori,  S.  Orlanducci,  V.  Sessa,  E.  Tamburri,  F.  Toschi,  M.L.  Terranova,  R.  Riccitelli,  E.  Petrolati,  L.  Von  Neumann,  C.  Paoloni,  A.  Reale,  A.  Di  Carlo,  A.  Ciorba,  M.  Cirillo,  V.  Merlo  ‐    6th  IEEE  Conference  on  Nanotechnology  2006,  Cincinnati(OHIO), July 2006  

INNOVATIVE DESIGN OF NANO‐VACUUM TRIODE – R. Riccitelli, F. Brunetti, E.  Petrolati,  C.  Paoloni,  A.  Di  Carlo,  F.  Toschi,  M.  L.  Terranova  –  IVEC  2007  –  8°  IEEE  International  Vacuum  Electronics  Conference  (IVEC),  Kitakyushu  Japan,  May 2007 

THZ  VACUUM  TRIODE  BASED  ON  CARBON  NANOTUBE  –  R.  Riccitelli,  F.  Brunetti, C. Paoloni, A. Di Carlo, V. Krozer, M.L. Terranova, A. Ciorba  – ISMOT  2007  ‐  11°  International  Symposium  on  Microwave  and  Optical  Technology  (ISMOT), Monte Porzio Catone, December 2007  

COLD  CATHODES  ASSEMBLED  WITH  CNT  AS  ELECTRON  SOURCES  FOR  MINIATURIZED ELECTRONIC DEVICES –M.L. Terranova, M. Lucci, S. Orlanducci,  V. Sessa, F. Toschi, A. Di Carlo, F. Brunetti, A. Reale, R. Riccitelli, A. Ciorba, M.  Rossi, D. Hampai, Nanotech 2008, Venezia, March 2008   

FIELD  EMISSION  VACUUM  TRIODE:  THZ  WAVEGUIDE  SOLUTIONS  FOR  THE  TRANSMISSION  LINES  –  R.  Riccitelli,  F.  Brunetti,  C.  Paoloni,  G.  Ulisse,  A.  Di  Carlo,  V.  Krozer  –  IVEC  2008  –  9°  IEEE  International  Vacuum  Electronics  Conference (IVEC), Monterey California, April 2008  

INNOVATIVE VACUUM DEVICE FLIP‐CATHODE BASED ON CARBON NANOTUBES  FIELD EMITTERS – R. Riccitelli, F. Brunetti, G. Ulisse, C. Paoloni, A. Di Carlo, S.  Orlanducci, V. Sessa, M.L. Terranova, M. Cirillo – First Mediterranean Photonics  Conference, Ischia June 2008 

SIMULATION  OF  FIELD  EMISSION  BEHAVIOUR  IN  CARBON  NANOTUBE  BASED  VACUUM TRIODE – R. Riccitelli, C. Paoloni, F. Brunetti, G. Ulisse, A. Di Carlo – 

(19)

 

IVNC  2008  –  21°  International  Vacuum  Nanoelectronics  Conference  (IVNC),  Wroclaw Poland, July 2008 

National Conferences 

TOWARDS  THE  REALIZATION  OF  A  NANO‐VACUUM  TUBE  –  F.  Brunetti,  A.  Di  Carlo,  R.  Riccitelli,  A.  Reale,  E.  Petrolati,  C.  Paoloni,  A.  Fiori,  S.  Orlanducci,  E.  Tamburri,  M.L.  Terranova,  A.  Ciorba,  M.  Cirillo  –  Congresso  annuale  Gruppo  Elettronica, Naxos June 2005 (PRIMO PREMIO ST MICROELETTRONICS) 

FIELD  EMISSION  PROPERTIES  OF  DIFFERENT  KINDS  OF  SINGLE  WALL  CARBON  NANOTUBE  SAMPLES  –  M.L.  Terranova,  A.  Fiori,  S.  Orlanducci,  V.  Sessa,  E.  Tamburri, A. Ciorba, R. Riccitelli, L. Von Neumann – Congresso Nazionale ISTM  – Cagliari, September 2005 

CATHODE  OPTIMIZATION  FOR  FIELD  EMISSION  NANOTRIODES  –  G.  Ulisse,  R.  Riccitelli,  F.  Brunetti,  C.  Paoloni,  A.  Di  Carlo,  Congresso  Annuale  Gruppo  Elettronica, Otranto (LE) June 2008 

OPTHER‐OPTICALLY DRIVEN TERAHERTZ AMPLIFIERS – A. Di Carlo, F. Brunetti,  C.  Paoloni,  R.  Riccitelli,  G.  Ulisse,  Riunione  Annuale  del  Gruppo  Elettronica,  Otranto (LE), Italy, June 2008 

Workshops 

INNOVATIVE  DESIGN  FOR  CARBON  NANOTUBE  BASED  VACUUM  TRIODE  –  ICNTE ‐ 1° Italian Workshop on Carbon Nanotubes for Electronic Applications,  Bologna, May 2007 

NANOVALVOLA: CONFIGURAZIONE ALTERNATIVA  SEGREDIFESA – IV Simposio  sulle  Tecnologie  Avanzate  “Nuovi  Orizzonti  Tecnologici  Applicativi”,  Roma,  June 2007  

WORKSHOP  ON  THz  AND  mmW  TECHNOLOGIES  IN  ITALY  –  An  Advanced  Materials & Enabling Technologies Community – Finmeccanica, Roma 2007 

(20)

 

FLIP‐CATHODE  FIELD  EMISSION  VACUUM  TRIODE  FOR  THZ  APPLICATIONS  –  Microwave  Technology  and  Techniques  Workshop  2008  ‐  Innovation  and  Challenges  –  European  Space  Research  and  Technology  Centre  (ESTEC)  in  Noordwijk, Netherlands, May 2008 

 

Patents

 

‐ Innovative structure for a triode type field emission based vacuum tube (based  on CNTs) (PCT/IT2006/000883)  

‐  High  frequency  triode‐type  field  emission  device  and  process  for  manufacturing the same (PCT/IT2007/000931) (Patent pending) 

Schools 

ITSS2005  (International  Traveling  Summer  School  of  Microwaves  and  Lightwaves) L’Aquila, Italy 

ITSS2006  (International  Traveling  Summer  School  of  Microwaves  and  Lightwaves) Warsaw, Poland 

Scuola  Dottorato  Gruppo  Elettronica  GE08  (Nanophotonics  and  Nanoelectronics: technologies, devices and applications), Otranto (LE), Italy 

Other Activities 

‐ Member  of  organization  committee  of  ISOPHOS2007  e  ISOPHOS2008  (International School on Organic Photovoltaics), Ventotene (LT), Italy 

‐ Foreign experience to DTU (Danmarks Tekniske Universitet) in Copenhagen.   

(21)

   

 

 

 

1. Field emission 

vacuum devices for 

electronic applications  

 

 

In  this  chapter  field  emission  concepts  and  theoretical  derivation  of  the  Fowler‐Nordheim law are investigated. The description of the developments  that have taken place in the past couple of decades in microfabricated field‐ emitters  have  been  reported  and  figures  of  merit  like  turn  on‐field,  threshold field and field enhancement factor (β) that characterize the field  emission  performance  of  an  emitter  have  been  proposed  and  illustrated.  Those  different  approaches  are  presented  focusing  the  attention  on  the  manufacturing  aspects  and  the  related  problems.  Spindt‐type  arrays  of  innovative  materials  like  Carbon  Nanotubes  (CNTs),  Silicon  Nanowires  (SiNWs)  and  some  promising  Metal  Oxide  Nanostructures  (namely  ZnO,  CuO, WO3, SnO2) have been shown and described as potential materials for 

(22)

 

1.1  Field  emission  and  Fowler‐Nordheim 

equation 

The emission of electrons from a solid can be achieved by two means: either  by  heating  to  a  temperature  that  is  sufficiently  high  for  electrons  to  reach  over  the  potential  barrier  (thermoelectronic  emission,  Fig.  1.1(a)),  or  by  applying an electric field that is sufficiently high for electrons at or near the  Fermi  level  to  tunnel  through  the  potential  barrier  (field  emission,  Fig.  1.1(b)).  Furthermore,  several  transition  regimes  appear  in  between  these  two extremes, depending on field and temperature (Fig. 1.1(c)) [1]. 

 

 

Figure  1.1  (a)  Electron  emission  at  high  temperature  and  low  applied  field;  (b) 

Electron emission at low temperature and high applied field; (c) Emission regimes as  a function of temperature and field for an emitter with   = 5 eV [1]. 

 

At  high  temperature  and  zero  applied  electric  field,  the  thermoelectronic  current density is given by: 

4

 

(23)

 

Where  J  is  the  emitted  current  density,    the  work  function,  T  the  temperature, k the Boltzmann constant, h the Plank constant, m and e the  mass  and  the  charge  of  the  electron  respectively.  Typically,  the  emission  probability becomes significant above 2500 K for most metals, but this value  can be significantly reduced with a low work function. 

An  increase  of  the  applied  electric  field  will  induce  a  decrease  of  the  effective  work  function:  this  first  transition  regime  is  called  Schottky  emission, and is described by: 

4 ⁄

 

(2)  As  the  field  is  further  increased,  electron  emission  by  tunneling  becomes  also significant: this is the extended‐Schottky regime, where   sin   (3)  √ 4    (4)  As  the  field  is  further  increased  and/or  the  temperature  reduced,  electron  emission  occurs  with  a    tunneling  through  the  potential  barrier.  Following  the thermal field emission model, the current is given by: 

sin  

(24)

 

where  ⁄ ,    ⁄ 2 2 ,  and    is  the  Fowler‐ Nordheim equation (29) that will be derived in the following. Finally, the last  regime is the cold field emission, which corresponds to T = 0 K. Setting T = 0  K induces typically an error of 6 % at 300 K respect to the behavior at room  temperature (300K). 

As outlined above, field emission is the extraction of electrons from a solid  by    tunneling  through  the  surface  potential  barrier  under  a  strong  electric  field. Figure 1(a) shows that the potential barrier is square when no electric  field  is  present.  Its  shape  becomes  triangular  when  a  negative  potential  is  applied to the solid, with a slope that depends on the amplitude of the local  electric field F just above the surface. Although the Fowler‐Nordheim (F‐N)  model  has  been  originally  developed  to  describe  field  emission  from  flat  metallic surfaces at 0 K [2], it has proven adapted to describe field emission  from  a  wide  range  of  materials,  among  these  carbon‐based  electron  emitters [3]. 

To  derive  the  current  density  emitted  from  a  flat  unit  surface,  one  starts  from the equation: 

2 , ,

2  

(6)  In the frame of the free electron model, which is in first approximation valid  for  most  solids  around  the  Fermi  level,  one  has  the  electron  wavevector  , , , the velocity   ⁄ , and the occupation probability  described by Fermi‐Dirac statistics: 

(25)

 

, , 1  

(7)  To  evaluate  the  emitted  current  for  the  free‐electron  model,  one  has  therefore  to  determine  the  transmission  probability  D(E)  for  an  electron  through a barrier described by (see Fig. 1(b)): 

 

(8)  One  takes  often  into  consideration  the  contribution  of  the  image  charge,  which induces a decrease of the effective work function: 

1 4 4  

(9)  The  transmission  factor  can  be  determined  analytically  in  the  case  of  the  triangular  barrier  without  the  image  charge.  Schrödinger’s  equation  is  solved  in  two  separate  domains  (the  solid,  and  the  barrier  and  vacuum,  respectively)  with  simple  wave  matching  in  between  the  domains.  The  solution involves Airy functions Ai, Bi, Ai’ and Bi’ and amounts to:   κ⁄   (10)  κ 2 ⁄   (11)  η κ η   (12)   

(26)

  η κ η   (13)  η κ ⁄   (14)  The sub‐ and superscript of   indicate that D has been obtained through  matching and is an exact solution. One can simplify the exact expression for    by  setting  1.  In  that  case,  the  Airy  functions  Ai  and  Ai’  are  negligible  and  the  Bi  and  Bi’  functions  can  be  approximated  with  exponentials. One obtains that:  4 ⁄ ⁄ 4 3 2 ⁄   (15)  The expression can be further simplified by restricting the energies involved  to  .  This  allows  one  to  perform  a  series  expansion  of  the  energy‐ dependant term in the exponential:  ⁄ ⁄ 3 2 ⁄   (16)  With  , one obtains the MWFM, or Matching Wave Function  Method, approximation:  4Φ ⁄ 3 2 ⁄ 2Φ ⁄ 2 ⁄   (17)  The matching wave function approach does not make possible to take into  account  the  decrease  of  the  effective  work  function  due  to  the  image  charge. 

(27)

 

One  uses  to  this  end  the  expression  developed  by  Wentzel,  Kramers  and  Brillouin (WKB) that gives the probability for an electron to tunnel through a  potential barrier of arbitrary shape V (x): 

2 2 ⁄  

(18)  where      is  the  kinetic  energy  perpendicular  to  the  surface.  The  integration  limits  are  given  by  , .  With  the  triangular  potential 

barrier , we get:  2 2 ⁄   (19)  which, in turn, yields:  2 2 ⁄ 4 3 ⁄   (20)  Using again the approximation  of Equ. 16, one finally obtains:  4 ⁄ 3 2 ⁄ 2 ⁄ 2 ⁄   (21)  This is in fact the same result as the MWFM approximation.  

To  take  the  image  charge  potential  (9)  into  account  is  not  easy,  and  was  done  by  Nordheim  in  1928  for  the  first  time.  He  obtained  the  following  expression: 

(28)

  2 2 ⁄ 4 3 ⁄   (22)  which, with (16), is approximated by:  4 ⁄ 3 2 ⁄ 2 ⁄ t y 2 ⁄   (23)  The  functions  v(y)  and  t(y)  are  related  to  elliptical  functions,  where  y  corresponds to the relative decrease of the work function due to the image  charge potential:  4 1   (24)  The  functions  can  be  approximated  by  1.049 or    1.1 and 

0.96 .

To  finally  derive  the  Fowler‐Nordheim  equation,  one  inserts  the  WKB  transmission factors (21) or (23) into Equ. (6), with  , ,  described by  Fermi‐Dirac statistics (7) at T = 0 K. Without the image charge, the current J  [A] per unit area varies with the local field at the emitter surface F [V/m] as:  2 2 | |   (25)  and, once the integration is performed,   

(29)

 

4 2

4√2 ⁄

3  

(26)  Inserting numerical values with F in V/m,   in eV, and   in A/m2 yields: 

1.56 · 10 6.83 · 10 ⁄   (27)  With image charge, the integration yields:  4 2 4√2 ⁄ 3   (28)  so that one obtains, with numerical values,  1.56 · 10 exp 1 6.83 · 10 ⁄   (29)  The  values  of  the  constants  have  been  known  to  vary  depending  on  the  approximation used for the Nordheim elliptical functions. Also, the physical  quantity  that  is  usually  measured  is  a  current,  whereas  the  F‐N  equation  gives a current density. One writes therefore that:  

 

(30)  where A has the dimension of an area and represents in first approximation  the  emitting  area.  It  is  useful  at  this  point  to  remind  the  assumptions  that  lead to the model: the tunneling electrons are taken as a free‐electron gas  described by Fermi‐Dirac statistics, with an energy comparable to the Fermi  energy. The temperature is taken equal to 0 K (inducing an error of 6 % at 

(30)

 

300  K).  The  tunneling  is  elastic,  and  occurs  from  a  flat  surface,  yielding  a  current  density  in  the  F‐N  equation.  Several  models  based  on  the  Fowler‐ Nordheim  approach  have  been  developed,  especially  for  semi‐conductor  structures.  Formula  (29)  refers  strictly  to  the  limit  T=0,  but  is  a  valid  approximation  so  long  as  1/     is  very  large.  Now    is  of  the  order  of  8.5X10‐5T volts. This is sufficient to guarantee the observed independence of  T for all ordinary temperature. 

According  to  FN  model  and  considering  the  expression    ,  the  expression  for  the  current  density  (J)  obtained  for  an  applied  electric  field  (E)  can be rewrote as:  J ⁄   (31)  where A and B are constants, (A = 1.56 x 10‐6 AeV‐2, B = 6.83 x 103 eV‐3/2 V  µm‐1),  β  is  the  field  enhancement  factor,  Φ  is  the  work  function  of  the  material and E is the electric field given by V/d. V is the macroscopic applied  voltage  and  d  is  the  distance  between  the  anode  and  the  field  emitter.   Dividing the equation (1) by E2 and taking ln on both sides yields:   ln ln ⁄         (32)  A plot of ln(J/E2) versus 1/E is called Fowler‐Nordheim or FN plot. Generally,  the  field  emission  data  are  presented  by  FN  plot  in  which  the  FN  region  is  represented as a straight line with negative slope, from the slope value, the  field enhancement factor  ‘β’ can be calculated if the work function  of Φ the  material is known as it is shown in the next chapter. Turn on‐field, threshold  field  and  field  enhancement  factor  (β)  are  the  figures  of  merit  that 

(31)

 

characterizes the field emission performances of an emitter.  Turn‐on field is  the  field  required  to  achieve  a  level  of  current  density  of  10  µA/cm2  from  the  emitter  higher  than  the  background  noise  (varies  from  10  nA/cm2  to  0.01 mA/cm2 depends on the system).  Threshold field is the field required  to  achieve  a  significant  current  density.  In  this  work,  it  has  been  assumed  the  threshold  field  is  the  field  required  to  achieve  current  density  of  1mA/cm2  typical  value  required  for  flat  panel  display  applications  (the  minimum to produce the luminance of 300 cdm–2 for a video graphics array  field‐emission display with a typical high‐voltage phosphor screen efficacy of  9 lmW–1).  Field enhancement factor β is the ratio of microscopic field at the  apex  of  the  emitter  to  the  macroscopic  applied  field  and  it  can  be  considered  as  the  attitude  of  the  emitter  to  collect  field  lines    depending  only by its geometry. In first approximation an infinitely long emitter with a  radius  at  the  apex  r    is  approximated  with  a  sphere  with  the  same  radius  and: 

/ ; /  

(33)  The meaning that this approximation is too drastic is shown by the models  for  field  enhancement  factor  of  protrusions  on  flat  surface  recently  reviewed  [4].  This  studies  based  on  numerical  simulations  have  proposed  the  most  accurate  expression  to  date  rely  to  β valid  for  4 / 3000  without make any prediction on the influence of the shape or position of the  counter‐electrode:

1.2 2.15 / .

(32)

 

The above models assume that the counter electrode is flat. This is not the  case in most experimental set‐ups, and the measured value is therefore not  only due to the emitter, but also to the anode where the field will be greater  than V/d. 

In  spite  of  it,  it  is  reasonable  to  believe  that  the  shape  of  the  anode  does  therefore not have a significant influence on the obtained value of β, which  comes probably from the fact that the radius of curvature of the nanotube is  much smaller than that of the anode.     

1.2 Field Emitter Arrays 

Active investigation on field‐emitter arrays began in  the 1970s with a view  to developing vacuum microelectronic devices including flat panel displays.  Historically,  microstructured  tips  have  been  at    the  heart  of  the  field  emission  technology,  produced  from  molybdenum  and  subsequently  other  materials. This section provides a brief description of the developments that  have  taken  place  in  the  past  couple  of  decades  in  microfabricated  field‐ emitters. 

 

1.2.1 Field emission from microtips 

As  explained  above,  the  principle  of  field  emission  is  based  on  the  application of a very high electric field to extract electrons from a metal or a  highly  doped  semiconducting  surface.  An  ideal  electron  source  for  micron‐ sized devices would be characterized by the following properties: 

(33)

 

1. Being fabricated to submicron tolerances in order to insure that the  emitting  area  in  precisely  defined  and  it  does  not  change  during  operation; 

2. The emission has to be voltage driven;  

3. The  source  has  to  be  capable  to  emit  a  very  high  current  density  level (~A/cm2);  

4. The energy density supplied has to be manageable; 

5. The  energy  spread  of  the  emitter  charges  should  be  lower  than  conventional thermoionic cathodes (≤0.5 eV); 

6. The emission should be reproducible among different sources and it  should be stable over long lifetime (tens of thousands of hours);  7. The  fluctuations  must  to  be  small  enough  to  not  limit  the 

performance device; 

8. Cathodes  must  to  be  resistant  to  ion  bombardment,  reaction  with  residual gases, arcing and temperature effects; 

9. Manufacturing should be inexpensive, without critical processes and  possibly adaptable to wide variety of applications. 

For a parallel flat electrode configuration the field is of the order of 109 V/m  and  this  means  approximately  1000  kV  for  an  anode–cathode  separation  (vacuum gap) of 1 mm. However, if the cathode surface has a high point or a  protrusion as shown in Fig. 1.2, electrons may be extracted at a considerably  lower applied field. This is because the lines of force converge at the sharp  point and the physical geometry of the tip provides an enhancement of the  applied electric field [5]. 

Another important aspect to take in consideration in field emission analysis  is  the  density  of  the  emitters  and  in  particular  how  the  distance  between  the emitters plays an important role for the field emission properties.  

(34)

 

 

Figure 1.2 Illustration of field electron emission from a tip [5]. 

 

In fact, emitters are typical assembled to array structures and their emission  properties  is  influenced  to  the  electrostatic  interaction  between  the  emitters  and  a  so  called  screening  effect  become  significant  even  for  large  distance between the emitters  . For high density emitters, screening effects  reduce  the  field  enhancement  factor  end  thus  the  emitted  current.  For  emitters  of  a  medium  density,  there  is  an  ideal  compromise  between  the  emitter density and the emitters distance which is sufficiently large to avoid  screening  effect.    Several  investigation  both  theoretical  and  experimental,  shown that the electric field at the apex of the emitters will decrease with  decreasing  spacing.  In  fact,  the  effective  field  amplification  falls  rapidly  for  spacings  of  2   [6].  This  effect  influences  critically  the  field  emission  properties  of  both  individual  and  array  emitters.  As  shown  in  the  figure   below,  when  the  distance  is  less  than  the  height  of  the  emitters,  the  field  enhancement  factor  decreases  rapidly  with  distance.  On  the  other  hand  when  distance  is  larger  than  1.5  times  of  the  height  of  emitters,  the  enhancement  factor  hardly  changes  and  closes  to  the  enhancement  factor 

(35)

  of individual emitter, but the current density decreases with the increasing  the spacing. When the distance is much larger than the height of array, the  enhancement factor is the same as the case of individual emitter.       Figure 1.3 Simulation of the equipotential lines of the electrostatic field for emitters  of 1 μm height and 2 nm radius, for distances between emitters of 4, 1, and 0.5 μm  (a);  along  with  the  corresponding  changes  of  the  field  enhancement  factor  β  and  emitter density (b), and current density (c) as a function of the distance [6]. 

 

According  to  the  above  equations,  the  emission  current  is  strongly  dependent  on  the  following  three  factors:  (i)  the  work  function  of  the  emitter surface, (ii) the radius of curvature of the emitter apex and (iii) the  emission  area.  It  is  clear  that  at  a  specific  field,  lower  work  function  materials  can  produce  higher  electron  emission  current.  However,  not  all  low  work  function  materials  are  ideal  for  constructing  field  emission  cathodes  and  this  is  because  their  other  material  properties  may  not  be 

(36)

 

suitable  for  field  emission  applications.  For  instance,  the  work  function  of  cesium Φ = 1.8 eV, is one of the lowest, however, stable emission and long  lifetime  (from  cesium  or  cesiated  cesium  coated  cathodes)  it  can  be  very  difficult to obtain.   Materials commonly used for making microtips, such as illustrated in Fig. 1.3  include molybdenum and silicon, which have a work function of more than  4.5 eV. Therefore, it is important to make the microtips as sharp as possible  in order to reduce the field required for emission.   Microfabrication is commonly used to produce sharp microtips. In addition,  since  the  current  generated  from  a  single  microtip  is  quite  small  (~μA),  arrays of emitters are produced for application in large‐area electronics; for  instance,  pixels  in  a  display  application  and  field  emission  gun  in  microelectronics  applications.  Such  arrays  are  called  field  emitter  arrays 

(FEA).  In  order  to  enhance  the  lifetime  of  microfabricated  emitters,  it  is 

prudent  to  operate  them  at  low  current  levels  (fraction  of  a  μA/microtip).  Some redundancies are also built into the array to take in account of the fact  that not all the microtips will be producing equal amount of current. 

Essentially,  microfabrication  involves  a  combination  of  lithography,  deposition  and  etching  of  thin  films  of  a  number  of  materials  as  it  will  be  discussed in the next chapter, to create structures which are of the order of  a  few  microns  at  the  most.  Within  these  techniques  there  are  many  variations, depending on the specific application. From the material point of  view,  microfabricated  emitters  may  be  broadly  divided  into  four  types  including  old  Spindt‐type  emitters  like  molybdenum  and  silicon,  carbon‐ based compounds, silicon and metal‐oxide nanostructures. 

One  major  consideration  in  large  volume  manufacture  of  field‐emitters  is  the  cost  of  production.  Most  of  the  microfabrication  techniques  for 

(37)

 

producing FEAs are well developed and routinely used in the semiconductor  industry. However, there are a few techniques that are not so common for  the  semiconductor  industry.  They  include  for  instance  large‐area  high‐ resolution  laser  interference  lithography  to  define  sub‐micron  patterns  for  high‐definition displays. Also electron beam evaporation, which it is seldom  used  in  the  manufacture  of  microelectronic  devices,  is  fundamental  for  certain type of FEA fabrication technology. Another technique, quite unique  to  realize  silicon  microtips,  is  the  oxidation  sharpening  used  to  obtain  uniform  ultra‐sharp  silicon  microtips  with  an  oxidation  sharpening  step  to  overcome the not simple problem of tips’ oxidation in order to enhance the  tip effect of the emitters.  

 

1.2.2  Spindt‐type cathodes 

Most widely investigated FEAs are the Spindt‐type. They are relatively easy  to  manufacture  and  quite  robust  for  applications  such  as  field  emission  displays  (FEDs).  This  type  of  cathodes  was  invented  in  the  late  1960s  and  developed  in  the  early  1970s  by  Spindt  and  coworkers [7‐10].  Over  the  years,  there  have  been  very  considerable  improvements  in  the  quality  of  Spindt  FEAs,  owing  largely  to  the  advancements  in  fabrication  technology.  Spindt  FEAs  consists  of  molybdenum  cones  few  micron  height,  where  the  microtip radius is in the region of a few nanometers. A concentric aperture  hole  in  the  metal  gate  electrode  surrounds  the  apex  of  the  cone  and  is  separated  from  the  cathode  structure  by  a  thin  insulating  layer,  usually  silicon  dioxide  (Fig.  1.4).  The  small  aperture  allows  operation  of  device  at  low voltages.  

(38)

           Figure 1.4 Basic structure of a Spindt cathode—a molybdenum emitter is located at  the center of an extraction gate electrode and an insulator layer separates the gate  and the emitter [5].   

In  the  early  versions,  heavily  doped  silicon  wafers  were  mostly  used.  Currently, glass substrates are considered more suitable for large‐area FED  applications. The substrate is coated with a thin layer of silicon dioxide (~1  μm)  to  act  as  the  gate  insulation.  This  is  followed  by  a  sputtered  or  evaporated  (using  electron  beam)  layer  of  molybdenum,  which  is  typically  0.5  μm  thick  to  act  as  the  gate  metal.  Using  optical  lithography  in  conjunction  with  a  suitable  mask  the  gate  metal  is  patterned  to  define  a  hole,  1  μm  in  diameter.  Recently,  laser‐interference  lithography  has  been  employed to define sub‐micron holes to reduce the operating voltage of the  device [5]. 

Following  resist  patterning,  the  molybdenum  layer  is  selectively  etched  using either  a wet chemical or dry plasma process.  The photoresist is then  removed  by  dissolving  it  in  a  suitable  solvent.  Using  wet  chemical  etching  (buffered hydrofluoric acid) the silicon dioxide layer is subsequently etched  down to the substrate creating an undercut in the top metal layer (Fig. 1.5a).  A  clever  innovation  in  the  fabrication  process  is  the  deposition  of  an  aluminum partition layer, at grazing incidence. The wafer or the substrate is 

(39)

 

mounted  in  a  vacuum  deposition  system  and  rotated  about  an  axis  perpendicular  to  its  surface.  This  allows  the  definition  of  the  hole  to  any  desired  diameter  (Fig.  1.5b).  Molybdenum  (cathode  material)  is  then  deposited  through  the  defined  hole  at  normal  incidence  using  electron  beam  evaporation.  As  molybdenum  is  deposited  on  the  substrate,  the  simultaneous  condensation  of  the  material  on  the  aluminum  parting  layer  decreases the hole until it is completely closed. This results in the formation  of a sharp cone on the substrate as shown in Fig. 1.5c. Finally, the aluminum  parting layer is dissolved in a solvent and this lifts off the top molybdenum  layer, leaving the cone behind (Fig. 1.5d).  

         

Figure  1.5  Fabrication  steps  used  in  the  manufacture  of  Spindt  cathodes.  An 

aperture (a) is defined by patterning a multilayer of thin films on a substrate. This is  followed by the deposition of a parting layer (b) using electron beam evaporation at  aglancing  angle  and  subsequent  deposition  of  a  molybdenum  layer  to  produce  a  self‐aligned tip (c). Finally, the excess metal is dissolved in a suitable chemical (d) [5]. 

(40)

 

The  cone  height,  angle  and  the  microtip  radius  can  be  controlled  by  the  initial  aperture  size,  thickness  of  the  oxide  layer  and  the  source–substrate  distance  in  the  vacuum  coater.  It  is  usual  in  Spindt  cathodes  to  have  1:1  aspect ratio for the microtip height and the thickness of the insulating layer.   

 

Figure 1.6 SEM image of a molybdenum field‐emitter [5].  

 

A  SEM  image  of  Spindt  FEAs  is  provided  in Fig.  1.6.  Spindt  FEAs  can  be  fabricated  over  large  areas.  It  is  possible  to  produce  very  high  densities  of  emitters using high‐resolution lithography.       Figure 1.7 SEM images of a fabricated Spindt cathode array (a) and an example of  high‐density cathodes (b) an array of 550,000 tips with a packing density of 6.4x107  tips/cm2 [5]. 

(41)

 

Using  focused  ion  beam  lithography,  densities  in  the  region  of  6.4  107  tips/cm2 have been demonstrated (Fig. 1.7). In conjunction with holographic  lithography  techniques, Spindt FEAs with gate apertures as small as 70 nm  have been also fabricated [11]. 

One  of  the  key  advantages  of  using  low  operating  voltage  FEAs  in  display  applications is the possibility to use low voltage complementary metal oxide  semiconductor (CMOS) drivers.  

Operating FEAs to obtain stable emission currents is not as straight forward  as  fabricating  them.  There  are  a  number  of  factors  that  contribute  to  the  ‘‘randomness’’  or  instability  of  the  emission  current.  From  the  emission  mechanism, it is clear that the emission is strongly dependent on a number  of  factors  including  the  applied  potential,  microtip  geometry  and  the  cathode material work function.  

Variation  in  any  of  these  factors  is  reflected  in  the  emission  current.  It  is  believed that the emission from these microtips originates from one or two  atoms or clusters of atoms. From the fabrication point of view, it is difficult if  not  impossible  to  ensure  that  each  emitter  has  identical  (atomic  scale)  spatial  resolution  across  the  entire  wafer.  According  to  the  simplified  expression  F  ∝  V/r  (F:  electric  field,  V:  applied  voltage  and  r:  microtip  radius), variations in the microtip sharpness can results in non‐uniform field  at the microtips leading to non‐uniform emission current.  

Dyke and Dolan [12] reported that a variation of F of only 20% increase or  decrease the emission of an individual microtip by a factor of more than 10  (assuming  a  work  function  of  4.5  eV  and  vacuum  gap  of  field  of  about  5  ×  107 V/cm).  Similarly  the  adsorption  of  contaminants  from  the  environment  leads to changes in the emitter’s surface work function causing instability in  the  emission.  Under  normal  mode  of  operation  it  is  common  to  see  the 

(42)

 

emission  current  steadily  decrease  with  time  and  the  applied  voltage  is  required  to  be  raised  in  order  to  maintain  the  same  level  of  emission  current. 

Similarly,  the  adsorption  of  contamination  may  decrease  the  emission  by  increasing  the  work  function  and  this  requires  the  increase  of  the  applied  voltage  to  obtain  a  constant  current [13].  The  overall  effect  is  that  the  current density is not proportional to the array size. 

In order to overcome some of the above problems, the procedure of emitter  conditioning is employed. Usually, this involves heating the microtip at high  temperatures.  This  has  two  effects:  (a)  desorption  of  adsorbed  impurities  and  (b)  re‐crystallization  of  the  microtip  apex  due  to  localized  melting.  Essentially, two types of self‐heating behaviors are involved in the emission  of  a  field‐emitter,  i.e.  Joule  heating  and  Nottingham  heating  [14].  What  concerns  Joule  heating,  in  the  usual  case  where  resistivity  increase  rapidly  with  temperature,  resistive  heating  by  itself  leads  to  inherent  unstable  situation  at  high  emission  densities.  Since  stable  high‐density  emission  is  observed,  there  must  exist  another  factor  having  a  strong  and  stabilizing  influence on cathode‐tip temperature. Such stabilizing factor is provided by  the energy exchange resulting from the difference between the average of  the  emitted  electrons,  and  that  of  the  replacement  electrons  supplied  by  the external circuit.  In the case of thermoionic emission this phenomenon,  discussed  by  Richardson  [15]  and  later  by  Nottingham  [16],  is  well  known  and  produces  the  effect  of  cooling  the  cathode.  In  pure  field  emission  (T=0°K),  energy  levels  above  EF  are  empty,  all  emitted  electrons  have  less 

than Fermi energy, and the Nottingham effect necessarily produces heating  of  the  cathode.  However  if  the  cathode  temperature  T  is  increased  (T‐F  emission)  energy  levels  above  EF  become  populated  and  contribute 

(43)

 

preferentially  to  the  emission,  causing  a  decrease  in  the  average  heat  transfer per emitted electron. Thus, in contrast to Joule heating, Nottingham  heating  increases  less  rapidly  with  the  current  and  decreases  with  the  temperature, becoming negative  (cooling) at sufficiently  high temperature.  Clearly  the  relative  magnitude  of  the  two  factors  varies  markedly  with  operating conditions.  For an initially cold field emitter, Nottingham heating  is  the  predominant  effect  at  low  emission  densities.  At  high  emission  densities  it  is  the  triggering  mechanism  which  raises  the  cathode  tip  temperature  to  where  resistive  heating  becomes  predominant.  The  Nottingham effect then changes to cooling and exerts  a stabilizing influence  on tip temperature. 

As it is clear, in Spindt FEAs, Nottingham heating is the dominant mechanism  where  the  temperature  at  the  microtip  apex  can  be  controlled  by  the  applied field.  

A  self‐annealing  process  was  investigated  by  Spindt  et  al.  [13],  where  the  emission  current  itself  is  used  to  heat  the  microtip.  Significantly,  higher  currents  (several  hundred  microamperes)  were  obtained  from  a  single  microtip when activated by pulsed fields. Spindt et al. concluded that there  are two distinct regimes  of operation. When the emission current is in the  region  of  200  μA,  a  reversible  change  takes  place  due  to  desorption  of  weakly  coupled  species  such  as  hydrogen,  and  when  the  emission  exceeds  400 μA, an irreversible change in the I–V characteristics is observed which is  consistent  with  the  irreparable  smoothing  of  the  microtip  surface  due  to  thermally activated field‐assisted surface self‐diffusion. 

   

(44)

 

1.3 Novel Cold Cathode Materials 

The reported magnitude of emission current obtained from Spindt FEAs by  various  investigators  varies  significantly.  The  fabrication  process  used  and  the  post‐fabrication  conditioning  seem  to  play  a  major  role  in  the  performance  of  Spindt  FEAs.  Most  reliable  data  is  obtained  from  emission  characteristics  of  single  microtips.  There  are  many  reports  of  emission  current in the region of several hundred μA/microtip. To date Spindt et al.  have demonstrated emission current of several mA/microtip. However, the  microtip life‐time at such current levels is still low and in order of ~10000h  [17].  

As  already  remarked  FEAs  have  a  number  of  problems,  including  microtip  sharpness variations, microtip height non uniformity and also surface work  function  variations.  The  cumulative  effect  of  these  is  that  the  emission  current  can  vary  from  microtip  to  microtip  at  a  fixed  applied  voltage.  Sharper  microtips  and  microtips  with  lower  work  functions  generate  more  currents and in extreme cases can be damaged due to excessive heating. For  instance in display application, even moderate variations can result in spatial  variation  in  brightness  and  also  colour  non‐uniformity.  The  problem  is  overcame  to  a  large  extent  by  placing  a  resistor  between  the  emitter  and  the cathode electrode under the emitter. The function of this resistor is to  limit  the  current  emitted  by  the  microtip  and  to  normalize  the  emission  current for all the microtips in a pixel to an average level. One other strategy  for  improving  the  uniformity  is  to  introduce  redundancy  by  increasing  the  number  of  microtips  per  area.  The  current  contribution  per  microtip  is  reduced and the operating voltage can be lowered. 

Figura

Figure  1.5  Fabrication  steps  used  in  the  manufacture  of  Spindt  cathodes.  An  aperture (a) is defined by patterning a multilayer of thin films on a substrate. This is  followed by the deposition of a parting layer (b) using electron beam evaporat
Figure 1.6 SEM image of a molybdenum field‐emitter [5].  
Table 1.1 Typical physical properties of CNTs and graphite related to field emission [5].   
Figure 2.1 The electric arc discharge method (a), the laser ablation method (b),  thermal chemical vapour deposition (CVD) (c) and a plasma system based around a  vacuum chamber is used to grow nanotubes by plasma enhanced CVD (d) [8]. 
+7

Riferimenti

Documenti correlati

The resulting binary images are input into the spline-based algorithm for diameter estimates and the measurements performance against REVIEW is reported in Table 8.. The removal

The removal of the 1 Hz spikes by subtracting a fixed filtered template for all the mission used in the Planck 2013 data release produces very good results reducing the contribution

In the fourth chapter, the behavior of entanglement in open quantum systems is described: in particular, a two-qubit system is studied, analyzing both entanglement generation

La tesi si è data il compito di presentare una ricostruzione organica della riflessione politica di Miguel Antonio Caro, colui che può essere considerato, dopo «el padre de la

The primary scope of this dissertation is to identify some of the pragmatic mechanisms that underlie the formation and perception of humour in situation comedies and try

And the recent studies on the acquisition agreement (i) revitalized the debate concerning the subject matter of the agreement, in which there are a formalistic thesis according

The Greek Islands are generally subdivided into two groups, according to the location: the Ionian Islands (including Kerkira, Cephalonia, Lefkas, Zakinthos,

At the end of the chapter there is a deeply analysis of the fundamental element of the project: the piezoelectric motor Piezo LEGS TM by P iezoM otor company, whose R the driver