• Non ci sono risultati.

Macromolecular engineering of nanostructured polymer film surfaces

N/A
N/A
Protected

Academic year: 2021

Condividi "Macromolecular engineering of nanostructured polymer film surfaces"

Copied!
251
0
0

Testo completo

(1)

XXIX cycle

Doctoral School in Chemistry and Materials Science (DSCM)

PhD Thesis

Macromolecular engineering of

nanostructured polymer film surfaces

Candidate: Sara Taddei

Supervisor: Prof. Giancarlo Galli

Co-supervisor: Dr. Elisa Martinelli

(2)
(3)

Acknowledgements

Chapter 1 Micropatterning and nanopatterning on polymeric surfaces

1 MICROPATTERNING AND NANOPATTERNING

ON POLYMERIC SURFACES ...1

1.1 APPLICATIONS OF POLYMER THIN FILMS ... 3

1.1.1 TOP-DOWN APPROACHES ... 4

1.1.1.1 Photolithography ... 4

1.1.1.2 Serial writing with charged particles ... 5

1.1.1.3 Micro- and nanomachining ... 6

1.1.1.4 Direct writing and material deposition ... 7

1.1.1.5 Moulding ... 8

1.1.1.6 Transfer printing ... 9

1.1.2 BOTTOM-UP APPROACHES ... 10

1.2 SCOPE OF THE WORK ... 14

REFERENCES ... 17

Chapter 2 Block copolymer films for nanolithography

2 BLOCK COPOLYMER FILMS FOR

NANOLITHOGRAPHY ...1

(4)

2.1.1 BLOCK COPOLYMERS (BCP) ... 2

2.1.1.1 Phase separation and morphology ... 4

2.1.2 BLOCK COPOLYMER ORDERING IN THIN FILMS 6 2.1.2.1 General process steps ... 7

2.1.2.2 Morphology of thin films ... 9

2.1.2.3 Substrate surface effects ... 10

2.1.3 PREPARATION OF NANOSTRUCTURED POLYMERIC LAYER ... 12

2.1.3.1 Methods for pattern transfer ... 14

2.1.3.2 Etch techniques ... 17

2.1.4 CONTROLLED RADICAL POLYMERIZATION (CRP) ... 18

2.1.4.1 Atom transfer radical polymerization (ATRP) ... 21

2.1.4.1.1 Monomers ... 23

2.1.4.1.2 Initiators ... 25

2.1.4.1.3 Catalysts ... 25

2.1.4.1.4 Ligands ... 27

2.1.4.1.5 Solvents ... 28

2.1.4.1.6 Reaction temperature and reaction time ... 28

2.1.4.1.7 Kinetics ... 29

(5)

2.2.1.1 Random copolymerization experiments ... 33

2.2.1.2 Synthesis of polystyrene macroinitiators ... 37

2.2.1.3 Chain extension of PS macroinitiator ... 39

2.2.1.3.1 Chain extension with MAF6 ... 39

2.2.1.3.2 Chain extension with AF6 ... 42

2.2.1.4 Preparation of polymer films ... 45

2.2.1.5 Self-assembly of diblock copolymers on silicon wafer . 46 2.2.1.5.1 Neutralization of the Si/SiOx substrate ... 51

2.2.1.5.2 Self-assembly of diblock copolymers on flat surface ... 54

2.2.1.5.3 Correlation length, periodicity and morphology ... 60

2.2.1.5.4 Self-assembly of diblock copolymers within topographical trenches ... 68

2.2.1.6 Static contact angle measurements ... 81

2.2.1.7 Surface tension evaluation ... 83

2.2.1.8 XPS analysis ... 85

2.3 CONCLUDING REMARKS ... 96

2.4 EXPERIMENTAL ... 100

2.4.1 MATERIALS ... 100

2.4.2 APPARATUSES ... 101

2.4.2.1 Nuclear magnetic resonance (NMR) spectroscopy ... 101

(6)

2.4.2.4 X-ray photoelectron spectroscopy (XPS) ... 103

2.4.3 PROCEDURES ... 104

2.4.3.1 Synthesis of PS-based block copolymers ... 104

2.4.3.1.1 Random copolymers AF6) and P(MMA-co-MAF6) ... 104

2.4.3.1.2 Synthesis of polystyrene macroinitiator (PS) ... 105

2.4.3.1.3 Synthesis of block copolymers PS-b-P(MMA-co-AF6) 106 2.4.3.2 Preparation of films for nanolithography ... 108

2.4.3.2.1 Grafting fabrication: FLAT ... 108

2.4.3.2.2 Grafting fabrication: TRENCHES ... 109

REFERENCES ... 111

Chapter 3 Polymer network films for marine antifouling

3 POLYMER NETWORK FILMS FOR MARINE

ANTIFOULING ... 1

3.1 INTRODUCTION: BIOFOULING... 1

3.1.1 STAGES OF COLONIZATION ... 1

3.1.2 CLASSIFICATION AND INVOLVED ORGANISMS… ... 3

3.1.3 EFFECTS OF SUBSTRATE ON FOULING COLONIZATION ... 7

(7)

3.1.5.1 Chemically active coatings ... 9

3.1.5.1.1 Biocides ... 12

3.1.5.2 Non-toxic coatings ... 14

3.1.5.2.1 Enzyme-based coatings ... 14

3.1.5.2.2 Bioinspired engineered topographies ... 15

3.1.5.2.3 Superhydrophilic zwitterionic polymers ... 16

3.1.5.2.4 Inorganic–organic nanohybrids ... 17

3.1.5.2.5 Fouling release (FR) coatings ... 17

3.1.6 AMPHIPHILIC SURFACE ACTIVE COPOLYMERS FOR AF/FR APPLICATION…. ... 21

3.1.6.1 PDMS-based amphiphilic copolymer coatings ... 22

3.1.6.2 Amphiphilic pentablock copolymer in PDMS matrix coating ... 22

3.1.6.3 Photopolymerized network of amphiphilic siloxane coating ... 23

3.1.7 MAIN PROPERTIES OF A FOULING RELEASE COATING ... 25

3.1.7.1 Elastic modulus ... 25

3.1.7.2 Surface tension ... 27

3.2 RESULTS AND DISCUSSION ... 32

3.2.1 (MACRO)MONOMERS ... 32

(8)

WETTABILITY, ECOTOXICOLOGICAL AND BIOLOGICAL

ASSAYS ... 41

3.2.4 WETTABILITY PROPERTIES OF THE FILMS... 45

3.2.4.1 Contact angles of two-layer films ... 45

3.2.4.2 Surface tension of two-layer polymeric films ... 47

3.2.5 ECOTOXICOLOGICAL TESTS ... 48

3.2.5.1 Ecotoxicological test with Vibrio fischeri ... 48

3.2.5.2 Ecotoxicological test with Dunaliella tertiolecta ... 50

3.2.6 BIOLOGICAL ASSAYS ... 51

3.2.6.1 Assays with Navicula incerta ... 51

3.2.6.2 Assays with Ficopomatus enigmaticus ... 54

3.3 CONCLUDING REMARKS ... 60

3.4 EXPERIMENTAL ... 63

3.4.1 MATERIALS ... 63

3.4.2 APPARATUSES ... 64

3.4.2.1 Gel permeation chromatography (GPC) ... 64

3.4.2.2 29Si solid state NMR spectroscopy ... 64

3.4.2.3 Static contact angle ... 64

3.4.2.4 Instron thermo-mechanical analysis ... 65

3.4.2.5 Turbulent channel flow apparatus (TCFA) ... 65

(9)

3.4.4.1 Si-PEG formulations ... 68

3.4.4.2 Si-F formulations ... 69

3.4.4.3 Three-component formulations ... 70

3.4.5 MECHANICAL PROPERTIES ... 70

3.4.6 ECOTOXICOLOGICAL ASSAYS ... 72

3.4.6.1 Bioluminescence inhibition of V. fischeri ... 72

3.4.6.2 Growth inhibition of D. tertiolecta ... 72

3.4.7 BIOLOGICAL ASSAYS ... 73

3.4.7.1 Attachment of N. incerta ... 73

3.4.7.2 Settlement/detachment assay with F. enigmaticus ... 74

(10)
(11)

First of all I would like to express my sincere gratitude to two wonderful people: my supervisor Prof. Giancarlo Galli for his support on my PhD work, for his huge patience and immense knowledge and my co-supervisor Dr. Elisa Martinelli for her precious advises, her constant assistance and for her incredible passion for this field. Their guidance helped me performing my research and writing down this thesis. Thank them for supporting me in the decision to embark on a new adventure before the end of my PhD.

Besides my supervisors, I would like to thank my referees Prof. Michele Laus and Prof. Christine Bressy for their work and precious comments, but also for their comprehension to my late thesis submission.

This PhD thesis is the fruit of several collaborations. I want to thank every single person who has given contributes, advises and suggestions. It has been a pleasure working with all of you.

My sincere thanks go to Prof. Michele Laus and his research group, it has been a rich and stimulating collaboration. In particular, I want to thank Prof. Katia Sparnacci, Dr. Diego Antonioli and Giulia, now Dr. Giulia Aprile, PhD, a wonderful woman with whom I shared lots of my doubts, anxieties and pains, but also the joy and the satisfaction to have run this project with soul and heart. Another very big thank to another great woman Prof. Antonella Glisenti, “Anto”, who, with her huge passion and love for her job, taught me how rock the XPS analysis is.

I would also like to thank Prof. Carlo Pretti and his research group, in particular Dr. Matteo Oliva, for their great work on the biological and ecotoxicological tests and Prof. John Finlay (University of Newcasle) for the Navicula incerta assays.

I would like to thank my fellow lab-mates for the stimulating discussions and for the good time we had together: Elisa, Matteo Dr. Pagnotta, Manno, Vale (Zhe Zhao) and Marco.

Another very big thank goes to the “Polymer team”: Dr. Angelina Altomare, Prof. Solaro, Prof. Giacomo Ruggeri, Prof. Valter Castelvetro and Prof. Andrea Pucci, for their knowledge, their always-open doors and for the great people they are.

I would like to thank my INEOS colleagues, FEX team and providers, especially Luca and my boss Giuliano, who have helped me since the very beginning to conclude this big work, giving me the time I needed, the days-off I needed, some precious -both chemical and personal- advises and for believing in me. And now, enjoy the big buffet!!

(12)

love you.

together: it has been an honor to go through all this with you!) and of course their wonderful wives and the very best of us: Zeno “il bellone”. It has been a pleasure studying with you, you are amazing!) and the ones I met after: Pierpi, Simonetti, Michelene, Ire -pretty a sister-. It is needless to say how you are indispensable for me. …and again: Teresa, Iasill’, Dario & Paola, Simo & Elena, Sabry, Anto, Claroletti, Bargino, Giulians, thank you! You are spectacular and I love you guys! Of course I can't forget Pana and Erika, even if we see each other just a few you're in my thoughts.

Another very big thank goes to my room-mates: Dini, Giulina and to my “half-of-an-apple” Engix, to live with you has been like having another family.

I would also like to thank Ele, Ale, Paola, Robi, Cami, Richard, and all “Francesco’s friends” especially, of course, their wives and babies! Please forgive my “No, I can’t”, “No, sorry, I have to study”, no more NO from now on!

I would like to thank my family, especially Nonno Cele, who has always been here with incredible support and huge love, and all those who have done it in the past, since they flown away from here. I would like to thank my parent in-laws, always present and supporting with love. Also the family friends of a lifetime, especially Marta and Menis, Titty, Tino and Michelino and "tata" Laura, Marco and Tommasino. You were there in darkest and brightest days, at my side.

..and, of course, my beloved parents: my Mum and my Dad. You are here, always and forever. Thank you for always being at my side, to urge me to give my best and support me in every decision. I love you so much.

At last, but not at least, I have to thank my amazing (almost) husband Francrisci. You are the one who made this possible, without whom I could not have ever finished my thesis in time. Thank you for your love and for being there when anyone else would have fled. FromYour nowlove on: fhas reehelpeddom. me to find the strength to complete this work. I am nothing without you. I S.

(13)
(14)
(15)

1

1 MICROPATTERNING AND

NANOPATTERNING ON POLYMERIC

SURFACES

The ability to pattern functional polymers at different length scales is important for research fields including cell biology, tissue engineering and medicinal science and the development of optics and electronics. The interest and capabilities of polymer patterning have originated from the abundance of functionalities of polymers and a wide range of applications of the patterns.1

The past decade has witnessed the rapid development of a broad range of strategies used to pattern polymers. Intense interest in polymer patterning originated from the diversity of existing synthetic and biological polymers, and the ability to ‘design’ new types of polymers so that various functions of polymer-patterned surfaces can be addressed.

Polymer patterns typically have high fidelity, owing to the suppressed lateral diffusion of macromolecules. High-resolution polymer patterns can be produced by patterning reactive precursor molecules and polymerizing them directly on the surface. A truly unique approach to surface patterning has been realized through the self-assembly of block copolymers. Polymers have relatively low cost, good mechanical properties and are compatible with most patterning techniques.

The applications of polymer-patterned surfaces can be tentatively organized into several categories:

(16)

2

1. the fabrication of light-emitting displays (LEDs), semiconductor microelectronics and plastic electronics;2,3

2. bio-related and medicinal research including the study of cells and tissue engineering;4,5

3. the generation of masks and templates;6,7

4. the production of optical components such as gratings or photonic crystals;8,9

5. Films, membranes and coatings for combating (bio)fouling.10,11 Recent innovations in the micro- and nanofabrication area have created a peculiar opportunity for patterning surfaces with features with lateral dimensions spanning over the nano- to millimeter range. The microelectronics industry and the need for smaller and faster computing systems have pushed this development during the last two decades2 and, in parallel, new application fields for miniaturized devices have emerged. The interest in light and low-cost devices has caused the development of alternative patterning technologies more suited for plastics manufacturing.4

Increased effort has been paid in the last ten years to establishing fabrication technologies which allow production of structured surfaces with greater geometrical complexity at reduced operation time and cost. These include patterns made of polymer materials possessing elongated features in the vertical dimension (aspect ratio > 3), exhibiting several hierarchy levels, or in intricate tilted, suspended, or curved three-dimensional (3D) arrangements. Such surface structures find applications in emerging fields like biosensors with increased sensitivity and throughput due to higher effective surface area; fibrillar surfaces with controlled adhesion as better scaffolds for tissue engineering,

(17)

3

antifouling coatings for undersea performances, and haptic devices; or high-luminosity lighting panels and photonic structures for the visible spectrum.1

1.1 APPLICATIONS OF POLYMER THIN FILMS

One focus of great interest has been in the patterning of microelectronics components on a length scale inaccessible by optical lithography. Many device structures are simply miniaturized descendants of current electronics. For instance, high density hard drives can be made by using the polymer nanodomains to pattern magnetic bits with a significantly greater number of bits per unit area than the current optical lithographically patterned drives. Other applications are wholly new and based on the ability to template the block copolymer directly. As the synthesis of conducting polymers and metal containing block copolymers matures, the ability to pattern materials on the nanometer length scale extends into yet another new range of applications.12 In this scenario, devising of block copolymer thin films can be divided into two methods: top-down and bottom-up methods. Top-down techniques, in general, refer to the traditional methods of the semiconductor industry in which pattern transfer from the block copolymer is used to pattern an underlying substrate. In bottom-up techniques, the active components are directly self-assembled by the innate tendency to phase separation.

(18)

4

1.1.1 TOP-DOWN APPROACHES

Traditional microelectronics fabrication has relied on approaches involving the direct patterning of subsequent layers. Trends to smaller feature sizes, as exemplified by Moore’s law, with inherently decreased diffusion distances and increased surface/volume ratios are rapidly approaching the limits of traditional optical lithography, approximately 100 nm. While several alternatives have been introduced, many are prohibitively expensive. The following section will be briefly focused on the principal patterning techniques and their main characteristics.

1.1.1.1 Photolithography

Photolithography is the main workhorse in the semiconductor and integrated circuits (ICs) industry.13,14 It is employed for pattern generation in manufacturing of ICs, microchips and commercial micro-electromechanical system (MEMS) devices.15

This technique transfers a pattern onto a substrate by means of an etching process. It utilizes an exposure of a light-sensitive polymer (photo-resist) to ultraviolet (UV) light to define a desired pattern. Initially, UV light is illuminated through a photomask that consists of opaque features on a transparent substrate to make an exposure on a photo-resist that is coated on a substrate (typically a silicon wafer).16,17,18 In the exposed area, the polymer chains of photo-resist break. Therefore, the exposed photo-resist is removed in a developer to form the desired photo-resist pattern. Figure 1.1 shows a schematic illustration of the main steps in photolithography. This patterned photo-resist can be used as a

(19)

5

protective layer in subsequent etching or deposition processes to build topography on the substrate.19

Figure 1.1 Schematic illustration of the main steps in photolithography. (a) exposure step: photo-resist coated on the substrate is exposed to UV light, (b) development

step: the exposed photo-resist is removed by immerging into a developer.

1.1.1.2 Serial writing with charged particles

Serial writing with charged particles (electrons or ions) is a maskless lithographic technique with low throughput and only suited for small area fabrication. It offers a great flexibility in the feature design, and for this reason it is frequently used in academic research.15 The main exponent of this group of technique is the Electron Beam Lithography. With its ability to form arbitrary two-dimensional patterns down to the nanometer scale, electron beam lithography (EBL) is one of the most important techniques in nanofabrication.20 In short, it involves the exposure by a highly-focused electron beam to dramatically modify the solubility of a resist material during a subsequent development step, Figure 1.2.

The key objectives of EBL writing are to achieve arbitrary patterns in the resist with high resolution, high density, high sensitivity and high reliability. These characteristics are interrelated in a complex fashion.

(20)

6

The key determinants will be the quality of the electron optics, the choice of resist, substrate and developer, and the process conditions: electron beam energy and dose, and development time and temperature. Factors that complicate these objectives are delocalization of electrons due to forward and backscattering, collapse of the pattern due to swelling and capillarity forces, and fluctuations in the sizes of features.21,22

Figure 1.2 Outline of EBL process steps to form a nanoscale pattern in a positive-tone resist layer

1.1.1.3 Micro- and nanomachining

Machining involves milling material from surfaces in a controlled way. It can be combined with deposition of materials at certain surface locations to form complex patterns. Machining is a resistless patterning method and can be applied to many different materials.15 One of these

techniques is the focused ion beam (FIB) technique. An ion beam can be used as a nanomachining tool.23

Ions, e.g. gallium ions, emitted from the ion source are focused by an electric field and impinge on the polymer surface placed in vacuum. Rotation of the specimen allows milling of 3D shapes with the focused

(21)

7

ion beam (FIB). To make an accurate shape, re-deposition of the material is avoided by reaction with a reactive gas. The gaseous compound is then evacuatedfrom the specimen chamber by a vacuum pump. While ions collide with the specimen surface, secondary electrons are detected, enabling simultaneous imaging of the milled structure.

By tilting or rotating the sample stage during milling, FIB milling can be used to generate tilted patterns.23-24 FIB milling has a high spatial resolution, but it is a relatively slow, serial patterning process. Conventional FIB has a material removal rate of 1-10 atoms per incident ion, which is approximately one million times less efficient than the removal rate per incident proton for proton beam writing.15

1.1.1.4 Direct writing and material deposition

The term direct writing describes fabrication methods that employ a computer-controlled translation stage which moves such that controlled architecture and composition are created by sequential, layer-by-layer deposition of a fluid which solidifies in a posterior step (Figure 1.3a).

(22)

8

Figure 1.3 Direct writing. (a) Apparatus for robotic deposition apparatus.25 (b) 3D periodic structure (10 layers) fabricated by deposition of a polyelectrolyte solution

with a filament diameter of 1 µm. Scale bar corresponds to 100 µm.26

The technique enables writing patterns with lines in any arbitrary design by programming the movement of the writing head. Sub-micrometer structures made from polyelectrolyte solutions (Figure 1.3b) and colloidal suspensions have been reported.25,26,27 Feature sizes range from

hundreds of micrometers down to the sub-micrometer scale.15

1.1.1.5 Moulding

Moulding has been known for centuries as a process for replicating service tools. Here the surface relief of a well-engineered hard master tool (also named mould or stamp) is transferred into a soft material. Several methods have been developed in the past decade to obtain

(23)

9

micro- and nanostructured polymer surfaces using moulding strategies.28,29

The great advantage of this technique is that it does not use energetic beams, and therefore, its resolution is not limited by the effects of wave diffraction, scattering and interference in a resist, or backscattering from the substrate. The same mould can be used to fabricate a large number of nanostructures, and therefore, this method can be scaled up and has the capability of large-area, low-cost patterning. Resolution is mainly determined by the fabrication method used to prepare the mould and the mechanical strength of the embossed polymeric material. Prerequisites for moulding are the availability of a suitable mould and the possibility of removing the moulded material from it without damage to either the replica or the mould. The latter requirement especially holds for micro- and nanomoulding of high aspect ratio (HAR) structures since high mechanical friction is generated due to the large effective contact area. For the same reasons, moulding in its simplest version is not suitable for fabrication of tilted or re-entrant structures.22

1.1.1.6 Transfer printing

Transfer printing (also called “reversal imprinting” or “polymer bonding”) is a method to transfer negative replicas from a soft mould to a different substrate. A polymer layer is spin coated onto the mould instead of onto the substrate. After the solvent evaporates, a thin polymer film remains on the surface and can be transferred to a bare substrate by “bonding” them under suitable temperature and pressure.30,31 This method is advantageous for substrates which cannot

(24)

10

be easily spin coated with a polymer film, such as flexible polymer substrates. In addition, successful and reliable pattern transfer can be achieved at low printing temperatures and pressures.30 Slightly increasing the mould temperature also helps in the transfer process.22

1.1.2 BOTTOM-UP APPROACHES

In the bottom-up framework a solution to the fabrication of ordered nanostructured templates is provided by the self-assembly (SA) process. SA indicates the mechanism through which atoms or molecules spontaneously organize into complex structures and patterns, corresponding to a local minimum in the energy of the material system starting from a higher energy state.

Direct Self-Assembly refers to the possibility to drive the assembly process of these materials by means of additional regular features (most likely fabricated within a top-down technique) in the environment to guide the organization of the components and to determine the final structure they form. In this way the implementation of bottom-up into top-down techniques could lead to new possibilities of nanofabrication. In this context, the possibility of integrating SA materials into the standard lithographic processes to generate sub-lithographic structures was critically reviewed in recent editions of the International Technology Roadmap for Semiconductors (ITRS) in the perspective of a process simplification.32

Examples of SA materials are phase separating block copolymers and amphiphilic surface-active polymers.

(25)

11

Block copolymers (BCPs) consist of two or more chemically different polymer chains (or blocks) joined by a covalent bond to form a larger, more complex macromolecule. Because of connectivity constraints and the incompatibility between the blocks, BCPs spontaneously self-assemble into nanometer-sized, phase-separated domains that can exhibit ordered morphologies. The equilibrium morphology in each BCP system is determined by the relative chain lengths of the blocks and the Flory-Huggins interaction parameter, . Thin films of BCPs with homogeneous long-range morphology can be regarded as periodic nanopatterned surfaces.

Generating BC patterns avoids the use of complicated and expensive masters or projection optics.33 However, it requires appropriate chemical designs, control of the BCPs morphology over large areas, and the possibility of selective removal of one block to obtain an ordered nanopattern of a second or third block on the surface. Figure 1.4 shows a schematic of a BCPs-based patterning strategy.

Figure 1.4 BCP-based patterning strategy.

The implementation of BCPs into current photolithography allows its extension to sub-lithographic features providing a simple tool for the sub-patterning of previously defined photolithographic structures.

(26)

12

BCPs, revealed as the most promising candidates for the fabrication of the next generation of microelectronic devices.

A surface-active polymer is a tailored material able to phase-segregate and self-assemble at the polymer–environment interface when incorporated into a more complex system so as to modify the surface properties of the entire system. While typical surface-active polymers are composed of low surface energy fluoropolymers,34,35,36 different architectures of amphiphilic polymers have attracted attention in the last ten years.

The engineering of amphiphilic polymers, which combine both hydrophilic and hydrophobic components in one chemical structure, is currently regarded as one more promising strategy to combat marine biofouling.37,38 The potential of amphiphilic polymers resides in the ability to provide a heterogeneous nanoscale mosaic chemical surface, where the coexistence of hydrophobic and hydrophilic domains can confuse organisms during settlement and adhesion.

This thesis focuses on two different aspects of the macromolecular engineering of nanostructured polymer films surfaces:

1. Self-assembling block copolymers as unconventional lithographic materials.

Conventional lithographic materials, like polystyrene-b-polymethylmethacrylate (PS-b-PMMA), show a low , which limits their application at sub-10 nm scale, and therefore new high- materials have to be synthesized. The introduction of a fluorinated component, which is characterized by an high , into a block copolymer can overcome this limitation.

(27)

13

2. Amphiphilic surface-active polymers as fouling-release materials. New amphiphilic PDMS-based films, that combine surface functionality with bulk elastomeric features, can be projected and prepared in order to create ambiguous surfaces to avoid marine biofouling.

(28)

14 1.2 SCOPE OF THE WORK

Different surface and interface effects dictate complex phenomena of polymeric materials, such as wetting, bonding, fouling, templating and patterning, which have significant implications in numerous and diverse fields of modern science and technology. While these phenomena involve processes over several orders of length scales, it is in the realm of the nanoscale that special efforts are being devoted to pushing ahead frontiers of fundamental understanding and practical exploitation of tailored properties of polymer thin and ultrathin films.

To quote one example, biofouling, i.e. the unwanted adhesion and accumulation of proteins, cells and organisms on a surface, is a typical phenomenon where nanoscale interfacial interactions play a critical role. Fouling organisms, such as larvae of invertebrates and spores of algae, are highly selective in their preferences for certain surfaces and sense nanoscale cues that moderate initial settlement or determine adhesion strength. Manipulation of the superficial and interfacial properties of a polymer film, so that the fouling organism either perceives the surface as non-conducive to settlement or the interaction forces between the surface and the polymeric adhesives produced by the organism are weakened, can be an effective means to prevent biofouling, notably marine biofouling.

More in general, it is widely recognized that understanding the correlation between the nanostructure and the properties of the surface of a material and controlling appropriate chemical-physical properties at a molecular level of such nanostructure can lead to novel developments in any area interfacial interactions, operating within a few nanometers of a surface, are crucial.

(29)

15

The precision synthesis of polymers and the macromolecular engineering of surfaces therefrom have nowadays reached sophisticated levels of accuracy and repeatability suitable for implementation in several nanotechnology constructs and devices. Available techniques of controlled polymerization reactions enable preparation of designed and fine tuned polymer architectures, including those of block copolymers, polymer brushes, (semi)interpenetrating networks, and multifold templates. These can in turn fulfill demands of bottom-up approaches for, e.g. self-assembly, function and responsiveness, addressing capacity and pattern transfer. Some of such special architectures appear, furthermore, to be compatible with typical top-down nanofabrication methods of the electronic industry for, e.g. pattern generation and pattern transfer in manufacturing of microchips, integrated circuits and electromechanical systems.

In just one example of this particular context, block copolymer films are regarded as unconventional photoresist patterning materials in that they are suitable for forming a well defined latent image. Unlike traditional photoresists, however, block copolymers can spontaneously form regular domain patterns at dimensions not achievable by lithographic means. Thus, they can act as sacrificial templates for defining high fidelity, high resolution integrated circuit elements.

In keeping with the rationale for the two above selected examples of polymer films in nanoscience and nanotechnology, this PhD thesis work was devoted to exploration of novel polymers that could be integrated into;

i) films for nanolithography patterning, and ii) films for marine antifouling.

(30)

16

The former polymer systems were block copolymers of the AB-type that were designed to originate self-assembled nanodomain structures even with constituent polymer blocks of low molar masses. This special attribute was introduced by growing a high- parameter, fluorinated polymer block possessing hydrophobic/lipophobic character from a hydrophobic macroinitiator block.

The latter polymer systems were hydrophilic/hydrophobic cross-linked networks in which the chemistry was varied systematically in order to impart antifouling performance of elastomer films against marine fouling organisms. Different hydrophilic and hydrophobic surface-active components were incorporated, either each separate or both mixed, into the hydrophobic matrix.

Overall, the common underlying character of ‘amphiphilicity’ of the polymers was conceived to play a major structuring role to drive surface and interface effects of significance within two research fields of great impact on enabling technologies for industry, environment and societal issues.

(31)

17

REFERENCES

1. Nie, Z.; Kumacheva, E., Patterning surfaces with functional polymers. Nature materials 2008, 7 (4), 277-290.

2. Shimoda, T.; Morii, K.; Seki, S.; Kiguchi, H., Inkjet printing of light-emitting polymer displays. Mrs Bulletin 2003, 28 (11), 821-827. 3. Black, C. T.; Ruiz, R.; Breyta, G.; Cheng, J. Y.; Colburn, M. E.; Guarini, K. W.; Kim, H.-C.; Zhang, Y., Polymer self assembly in semiconductor microelectronics. IBM Journal of Research and Development 2007, 51 (5), 605-633.

4. Théry, M.; Racine, V.; Pépin, A.; Piel, M.; Chen, Y.; Sibarita, J.-B.; Bornens, M., The extracellular matrix guides the orientation of the cell division axis. Nature cell biology 2005, 7 (10), 947-953.

5. Théry, M.; Racine, V.; Piel, M.; Pépin, A.; Dimitrov, A.; Chen, Y.; Sibarita, J.-B.; Bornens, M., Anisotropy of cell adhesive microenvironment governs cell internal organization and orientation of polarity. Proceedings of the National Academy of Sciences 2006, 103 (52), 19771-19776.

6. Park, M.; Harrison, C.; Chaikin, P. M.; Register, R. A.; Adamson, D. H., Block copolymer lithography: periodic arrays of~ 1011 holes in 1 square centimeter. Science 1997, 276 (5317), 1401-1404.

7. Kane, R.; Cohen, R.; Silbey, R., Synthesis of PbS nanoclusters within block copolymer nanoreactors. Chemistry of materials 1996, 8 (8), 1919-1924.

8. Valkama, S.; Kosonen, H.; Ruokolainen, J.; Haatainen, T.; Torkkeli, M.; Serimaa, R.; ten Brinke, G.; Ikkala, O., Self-assembled

(32)

18

polymeric solid films with temperature-induced large and reversible photonic-bandgap switching. Nature materials 2004, 3 (12), 872-876. 9. Campbell, M.; Sharp, D.; Harrison, M.; Denning, R.; Turberfield, A., Fabrication of photonic crystals for the visible spectrum by holographic lithography. Nature 2000, 404 (6773), 53-56.

10. Banerjee, I.; Pangule, R. C.; Kane, R. S., Antifouling coatings: recent developments in the design of surfaces that prevent fouling by proteins, bacteria, and marine organisms. Advanced Materials 2011, 23 (6), 690-718.

11. Murakami, D.; Kobayashi, M.; Higaki, Y.; Jinnai, H.; Takahara, A., Swollen structure and electrostatic interactions of polyelectrolyte brush in aqueous solution. Polymer 2016, 98, 464-469.

12. Segalman, R. A., Patterning with block copolymer thin films. Materials Science and Engineering: R: Reports 2005, 48 (6), 191-226. 13. Neureuther, A. R.; Rubinstein, J.; Chin, E.; Wang, L.; Miller, M.; Clifford, C.; Yamazoe, K., Modeling Optical Lithography Physics. Japanese Journal of Applied Physics 2010, 49 (6S), 06GA01.

14. Sanders, D. P., Advances in patterning materials for 193 nm immersion lithography. Chemical reviews 2010, 110 (1), 321-360. 15. Pimpin, A.; Srituravanich, W., Review on micro-and nanolithography techniques and their applications. Engineering Journal 2011, 16 (1), 37-56.

16. Moreau, W. M., Semiconductor lithography: principles, practices, and materials. Springer Science & Business Media: 2012. 17. Elliott, D. J., Integrated circuit fabrication technology. 1982. 18. Madou, M. J., Fundamentals of microfabrication: the science of miniaturization. CRC press: 2002.

(33)

19

19. Rothschild, M., Projection optical lithography. Materials Today 2005, 8 (2), 18-24.

20. Nabity, J.; Compbell, L. A.; Zhu, M.; Zhou, W., E-beam nanolithography integrated with scanning electron microscope. In Scanning Microscopy for Nanotechnology, Springer: 2006; pp 120-151. 21. Mohammad, M. A.; Muhammad, M.; Dew, S. K.; Stepanova, M., Fundamentals of electron beam exposure and development. In Nanofabrication, Springer: 2012; pp 11-41.

22. del Campo, A.; Arzt, E., Fabrication approaches for generating complex micro-and nanopatterns on polymeric surfaces. Chemical reviews 2008, 108 (3), 911-945.

23. Fujii, T.; Iwasaki, K.; Munekane, M.; Takeuchi, T.; Hasuda, M.; Asahata, T.; Kiyohara, M.; Kogure, T.; Kijima, Y.; Kaito, T., A nanofactory by focused ion beam. Journal of Micromechanics and Microengineering 2005, 15 (10), S286.

24. Fu, Y.; Ann Bryan, N. K., Fabrication and characterization of slanted nanopillars array. Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena 2005, 23 (3), 984-989.

25. Gratson, G. M.; Xu, M.; Lewis, J. A., Microperiodic structures: Direct writing of three-dimensional webs. Nature 2004, 428 (6981), 386-386.

26. Smay, J. E.; Gratson, G. M.; Shepherd, R. F.; Cesarano, J.; Lewis, J. A., Directed colloidal assembly of 3D periodic structures. Advanced Materials 2002, 14 (18), 1279-1283.

(34)

20

27. Therriault, D.; White, S. R.; Lewis, J. A., Chaotic mixing in three-dimensional microvascular networks fabricated by direct-write assembly. Nature materials 2003, 2 (4), 265-271.

28. Rytka, C.; Kristiansen, P.; Neyer, A., Iso-and variothermal injection compression moulding of polymer micro-and nanostructures for optical and medical applications. Journal of Micromechanics and Microengineering 2015, 25 (6), 065008.

29. Wissmann, M.; Besser, H.; Beiser, M.; Pfleging, W., Laser moulding, a new low-cost fabrication process for micro-and nanostructured components. Microsystem Technologies 2015, 21 (7), 1543-1549.

30. Huang, X.; Bao, L.-R.; Cheng, X.; Guo, L.; Pang, S.; Yee, A., Reversal imprinting by transferring polymer from mold to substrate. Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena 2002, 20 (6), 2872-2876.

31. Childs, W.; Nuzzo, R. G., Patterning of Thin‐Film Microstructures on Non‐Planar Substrate Surfaces Using Decal Transfer Lithography. Advanced Materials 2004, 16 (15), 1323-1327.

32. CERESOLI, M. Symmetric block copolymers templates for nano-lithographic applications

Università degli Studi di Milano, 2016.

33. Fasolka, M. J.; Mayes, A. M., Block copolymer thin films: physics and applications 1. Annual Review of Materials Research 2001, 31 (1), 323-355.

(35)

21

34. Martinelli, E.; Glisenti, A.; Gallot, B.; Galli, G., Surface

Properties of Mesophase‐Forming Fluorinated

Bicycloacrylate/Polysiloxane Methacrylate Copolymers. Macromolecular Chemistry and Physics 2009, 210 (20), 1746-1753. 35. Martinelli, E.; Fantoni, C.; Galli, G.; Gallot, B.; Glisenti, A., Low surface energy properties of smectic fluorinated block copolymer/SEBS blends. Molecular Crystals and Liquid Crystals 2009, 500 (1), 51-62.

36. Mielczarski, J. A.; Mielczarski, E.; Galli, G.; Morelli, A.; Martinelli, E.; Chiellini, E., The surface-segregated nanostructure of fluorinated copolymer− poly (dimethylsiloxane) blend films. Langmuir 2009, 26 (4), 2871-2876.

37. Krishnan, S.; Wang, N.; Ober, C. K.; Finlay, J. A.; Callow, M. E.; Callow, J. A.; Hexemer, A.; Sohn, K. E.; Kramer, E. J.; Fischer, D. A., Comparison of the fouling release properties of hydrophobic fluorinated and hydrophilic PEGylated block copolymer surfaces: attachment strength of the diatom Navicula and the green alga Ulva. Biomacromolecules 2006, 7 (5), 1449-1462.

38. Calabrese, D.; Wenning, B.; Ober, C. K., Block Copolymers as Antifouling and Fouling Resistant Coatings. In Anionic Polymerization, Springer: 2015; pp 881-924.

(36)
(37)

1

2 BLOCK COPOLYMER FILMS FOR

NANOLITHOGRAPHY

2.1 INTRODUCTION

The rate of advancement of modern semiconductor devices is governed by the ability to create scaled high-resolution patterns on substrates of electronic materials. Today, conventional UV photolithography can produce structures of arbitrary shape at dimensions below 40 nm with remarkable speed and efficiency, the cumulative result of decades of engineering refinements.1 It is widely recognized that further improvements will be accompanied by rapid increasing cost and difficulty. Prospective replacement technologies such as extreme UV lithography and nanoimprint lithography still face significant technical challenges,2,3 so it is difficult to predict their readiness for future device generations. Consideration of these issues has heightened an already growing interest in the use of self-assembly of materials as a means for patterning solid surfaces.4,5,6,7

Self-assembly can provide well-organized structures with atomic or molecular level precision. In an ultimate application of self-assembly, nanoscale device components (e.g., semiconductor nanoparticles) might be directly deposited on a substrate in a self-organized fashion to form a functioning circuit.8,1

Block copolymer lithography represents an attractive, economical alternative for those seeking the next order of magnitude down in scale provided that they require nothing more complex than large area, dense arrays of simple periodic patterns.9 This approach offers a nearer term

(38)

2

opportunity to exploit the benefits of self-assembly in an evolutionary way. With this goal, tremendous effort has been devoted over more than a decade to explore the practical applications of block-copolymer-based nanostructures.1

2.1.1 BLOCK COPOLYMERS (BCP)

Several excellent books and review articles have recently been devoted to the chemistry and physics of block copolymers.10,11 Block copolymers draw a big interest for their potential applications12, as already mentioned, for example in nanotechnology13,14 thanks to their ability to self-organize into structures having periodicity on a nanometric scale.

They are a specific class of copolymers where the different monomers are not distributed within the polymer chain in random or alternating way, but they are grouped in homogeneous sections (or blocks) of the chain.15 Basically, a block is a sequence of identical molecular building blocks (monomers) covalently bound together. The number of distinct blocks of the BCP determines the molecular architecture of block copolymer.16

Figure 2.1 illustrates a few of the many ways to connect chemically distinct sequences of repeat units into macromolecules that contain two or more polymeric subunits, referred to as blocks, which can be configured into linear, branched, cyclic, and hybrid molecular architectures. The simplest BCP structure is a linear, diblock copolymer, who consists of two chemically distinct blocks covalently linked “end to-end”, forming a single chain-like molecule. They have been studied

(39)

3

most extensively for generating a variety of nanostructures. More complex molecules are also possible, such as copolymers consisting of two different species joined “end-to-end” in three separate blocks or three different species joined end-to-end.

Figure 2.1 Selected examples of currently accessible molecular designs of polymer architectures.

The constituent blocks of a BCP may wish to separate from each other, because of their chemical incompatibility. The molecular connectivity constraint imposed by linking the blocks together prevents the two blocks from separating macroscopically. Instead, the material may self-assemble into ‘microdomains’ (or ‘nanodomains’) formed by the two blocks, which are not arranged randomly but show a regular arrangement which involves the formation of periodic structures, with dimensions dictated by the polymer molecule size (typically, 10 to 100 nm).9

This phenomenon is the self-assembly, and it determines the spontaneous formation of nanostructure. It is associated with the competition between the tendency to phase separation, due to blocks incompatibility, and periodic structures in which the contact area

(40)

4

between the incompatible microdomains is minimized. The minimization of the interfacial area between the domains, involves an interfacial energy decrease. Macromolecules tend to assume the most extended conformations at the two blocks interface, so the blocks can organize themselves in microstructures that minimize the ratio “exposed surface/volume”.17 The periodicity and the size of the domains depend

on the lengths of the blocks, and on their molecular weight.

2.1.1.1 Phase separation and morphology

The phase behavior of block copolymers has been studied extensively from both experimental and theoretical points of view from several years.18,19,20,21,1

The phase separation of block copolymers into periodic microdomains is determined by the strength of the repulsive interaction as characterized by the product N.  is the Flory–Huggins interaction parameter,22 that characterizes the strength of the repulsive interaction between the blocks and dictates the order-disorder boundary. N is the chain length, i.e. the number of monomers constituting the diblock copolymer.1,23 When N product’s value exceeds the order-disorder

transition critical value, microphase separation can occur.1

In diblock copolymers, the morphology of the microdomains is defined by the volume ratio between the two polymeric chains (fA/fB),16,24,25,26

(41)

5

Figure 2.212 (a) Typical phase diagram of a coil-coil diblock copolymer. f: Volume

fraction of one block. χ: Flory-Huggins interaction parameter. N: degree of polymerization. L: lamellae, H: hexagonally packed cylinders, Q230: double-gyroid

phase, Q229: body-centered spheres, CPS: closed-packed spheres, DIS: disordered.

Reprinted from Macromolecules, 2006, vol. 39, Cochran, E.W et al. Stability of the gyroid phase in diblock copolymers at strong segregation.27 (b) Structures of the

different phases described in (a). fA is the volume fraction of block A. Reprinted from

Materials Today, 2010, Vol. 13, I. Botiz and S.B. Darling, Optoelectronics using block copolymers.28

The phase diagram of Figure 2.2 shows the dependence of morphology on the volume fraction (f) of one component of the two blocks. An order-disorder transition (ODT) will occur when N falls below a critical value. This occurs, for example, at very low molecular weight (where N is small) or high temperature (since  ≈ a + b/T) for a given molecular weight. The size of microdomains scales with the copolymer molecular weight.

A very detailed description of the theory of BCP phase separation has been addressed in the last decade by means of accurate self-consistent mean-field theories and many different computational approaches.18,29,30,31 These theoretical models result in good agreement with experimental outcomes depending on the particular chosen regime of segregation for N.

(42)

6

For higher than 10.5 N values, varying the relative fraction fA an ODT

curve gets formed. Above this curve different microphase structures corresponding to different morphologies are present. The so-called weak segregation limit (WSL) (N < 10) and the strong segregation limit (SSL) (N >> 10) were introduced. With increasing fA at a fixed N

above the ODT (N >10.5), the order-order transition (OOT) starts from closely packed spheres (CPS), passing through body-centered cubic spheres (Q229), hexagonally packed cylinders (H) and bicontinuous gyroids (Q230), to lamellae (L). The morphologies and their transitions have been verified experimentally. The formation of the various morphologies is attributed to two competing factors: interfacial energy between the two blocks (an enthalpic contribution), and chain stretching (an entropic contribution). As microphase separation occurs, the two blocks separate from each other in such a way as to minimize interfacial area in order to lower the total interfacial energy.32 In order

to decrease the size of the micro-domains and maintain a microphase-separated morphology, if N decreases, χ must increase to compensate.

2.1.2 BLOCK COPOLYMER ORDERING IN THIN FILMS

The self-assembly of BCP thin films has attracted enormous research interest for its potential utility in emerging nanotechnologies such as nanolithography,33,34 nanotemplating,35,36 nanoporous membranes,37 and ultrahigh-density storage media.38 The functionality, shape and size of the self-assembled BCP nanostructures are readily tunable by changing their components, compositions, and molecular weights, all of which make BCPs ideal for cost-effective nanoscale fabrication

(43)

7

technologies. More recently, BCP thin film self-assembly has shown significant promise in high value industrial processing. For example, IBM announced the first manufacturing application of BCP self-assembly in a conventional chip fabrication line with the introduction of the “Airgap” insulator.39

The possibility of integrating SA materials in standard lithographic processes to generate sub-lithographic structures was critically reviewed in recent editions of the Semiconductor Industry Association’s International Technology Roadmap for Semiconductors (ITRS) in the perspective of process simplification.40,41 In this frame, for lithographic applications, the thin film configuration is preferred. The BCPs bulk morphologies is strongly influenced by the energetic interactions at the interfaces and by the film thickness.1 In particular, the effects of the surfaces and interfaces on the final morphology of the thin film could lead to preferential wetting layers, film dewetting or unexpected film patterns.42 In order to be suitable for lithographic applications, some prerequisites of an homogeneous BCP thin film have to be controlled: the film thickness (t), the orientation of the microdomains with respect to the substrate, the lateral order and their placement with respect to pre-existing features on the substrate.

2.1.2.1 General process steps

Although a variety of methods can be used for preparing thin films of block copolymers on substrates, the most popular method for film deposition is the spin coating. In a typical spin-coating process, a solution of the polymer in a good solvent is dispensed onto a substrate,

(44)

8

which is then spun at a speed of 1000-5000 rpm until the solvent is evaporated from the film. The film thickness is determined by the concentration of the solution, the molecular weight of the polymer, and the spin speed.

The deposition is usually followed by an annealing step to facilitate (or accelerate) the microdomain formation in the applied block copolymer film. Annealing increases the mobility of the copolymer molecules, so the film is facilitated to rapidly reach its final structure. This is achieved by either a solvent vapor or a thermal treatment.

In solvent vapor annealing the sample is held in a controlled atmosphere containing selected solvent vapors. Absorption of the vapor imparts greater mobility within the film. The efficacy depends on the selectivity of each block for the solvent, and a variety of solvents or mixtures of solvents can be used. Often, this solvent vapor treatment is used in conjunction with spin coating, i.e., the copolymer solution is applied to the substrate within a controlled solvent vapor environment. In this case, finely balanced interactions between solvent, copolymer, and the kinetics of solvent depletion govern the ordering of microdomains. This process has been used with success to control the orientation of cylindrical microdomains perpendicular to the surface under nonequilibrium conditions.43 This approach demonstrated the capability to control the ordering and orientation of microdomains in thin films of block copolymers but its possible implementation in industrial like environments is everything but trivial.44

In thermal annealing the sample is held at a temperature above the glass transition temperatures but below decomposition temperatures of the blocks for a time sufficient to allow approach to the equilibrium

(45)

9

morphology. It can be carried out under vacuum or in an inert gas environment. A recent approach in thermal annealing, i.e. the rapid thermal processing, RTP, will be discussed below.

For many practical uses, a three-dimensional relief image is the desired final nanostructured form, and with block copolymer films this calls for a route to selectively remove one phase of the microdomain pattern. Similar to the pattern development step in lithography, UV flood irradiation followed by a solvent rinse has been used to remove PMMA microdomains from PS-b-PMMA patterns.45,46 Though the etching selectivity between two organic blocks (e.g., PS and PMMA) is poor,47 a dry etch process to remove PMMA domains from PS-b-PMMA using plasma etching has been optimized by some research groups.48

2.1.2.2 Morphology of thin films

When a block copolymer is confined to form a thin film on a surface, the microdomain morphology is critically influenced both by the film thickness and by the energies of interaction at the air/polymer and polymer/substrate interfaces.49,50,51 In general, interfacial energies will differ for blocks of different chemical composition. This leads to preferential wetting of each interface by selective blocks of the copolymer. For example, lamellar microdomains of symmetric PS-b-PMMA deposited on the native oxide surface of a silicon wafer are oriented parallel to the surface with PMMA wetting the substrate surface while PS lamellae assemble at the air interface. This is due, respectively, to the affinity of the polar PMMA block for the hydrophilic oxide surface and the lower surface energy of the nonpolar PS block.1, 52

(46)

10

To achieve perpendicular orientation of the block copolymer features in thin films, a variety of distinct technological approaches were introduced,39 including electric field alignment,53 solvent annealing,54 directed assembly on chemically patterned substrates,55 graphoepitaxy,56 and self-assembly on chemically neutral surfaces.57,58,59

2.1.2.3 Substrate surface effects

Substrate surface energy and surface chemistry are important parameters for controlling block copolymer thin film self-assembly, both with regards to microstructure stability60 and microstructure orientation (for lamellae and cylinders).61

In thin films of sphere- and cylinder- forming diblocks, if either the substrate or the air has a strong preferential interaction with the minority rather than the majority block, the copolymer film forms a ‘wetting layer’ (or ‘brush layer’) at the interface,62,63 as shown in Figure 2.3. The

minimum free energy is obtained for film thicknesses that allow for a whole number of domains (spheres or cylinders) plus a wetting layer at one or both interfaces.9

Modifications of the substrate surface with random copolymers or self-assembled monolayers are two common approaches to generate neutral or preferential surfaces. The random copolymer methods rely on the statistical composition of the copolymer to tune the substrate surface energy/chemistry. The copolymers can be end-grafted58 or

side-grafted64 to substrates to form a brush layer or formed as a cross-linked

(47)

11

Figure 2.3 The effects of preferential substrate-polymer interactions. (a) The majority block preferentially wets both the substrate and air interfaces. (b) The minority block wets the substrate. (c) The minority block wets both interfaces. (d) The substrate is

neutral, causing cylinders or lamellae to orient perpendicular to the substrate.

For lamellae and cylinders, the perpendicular assembly windows and the quality of the perpendicular structures depend not only on the neutrality of the substrate surface, but also on the film thickness, block copolymer composition method of surface modification, and annealing conditions. Optimization of substrate surface neutrality has required researchers to consider all of these effects in their studies.66

(48)

12

2.1.3 PREPARATION OF NANOSTRUCTURED POLYMERIC LAYER

The standard fabrication procedure for a nanostructured polymeric layer preparation involves essentially two steps: substrate surface neutralization and BCP ordering.67

In the first step, the surface neutralization can be obtained through a thermally induced grafting reaction of the substrate with a HO-terminated random copolymer (RCP), for example polystyrene-r-polymethyl methacrylate (P(S-r-MMA)). The second step consists of the deposition of the BCP layer and subsequent annealing treatment to promote perpendicular orientation of the cylindrical or lamellar microphase separated morphologies. Considering both steps, all the standard thermal procedures reported so far require annealing on a timescale of about 100 min.34,68 This crucial issue is specifically addressed in the ITRS along with the density of defects in the self-assembled polymeric templates. To be competitive with actual large-scale production lithographic techniques, the net time to form and fix the BCP pattern must lie at around 240 s.40 Unfortunately, scaling down the annealing time is counter to the overall reduction of the defects, which is normally obtained by bringing the system slowly to an equilibrium stage in which the defects are energetically disadvantaged. In a symmetric BCP the reduction of the density of defects is inversely proportional to the correlation length.

Taking into account the guidelines defined by the ITRS, significant progress has been reported in the literature, leading to a remarkable scaling down of the annealing time required by the BCP to self-organize. This task has been accomplished through different techniques, such as

(49)

13

solvent,69 microwave,70 or thermal annealing,71 directly acting on the

kinetics of the system in order to increase the BCP mobility. Among these approaches, the solvent annealing treatment represents the most investigated solution, since it allows the BCP organization to be obtained in less than 600 s at very low temperatures.70

The Laus reaserch group proposed a novel technological approach able to overcome the actual limitations and pave the way to the study and comprehension of the early stages of the SA process.41 This technology is based on the annealing of the deposited BCP layer in a rapid thermal processing (RTP) machine, which is a standard semiconductor manufacturing equipment. With respect to the treatments performed on a hotplate or in a conventional furnace, in which the heat transfer is governed by conduction or convection mechanisms, the radiative energy sources (normally halogen lamps) of RTP provide several advantages. First, in RTP the temperature on the sample can be tuned with extremely high heating rates (up to 50 °C s-1) compared to those in conventional furnaces (3–5 °C min-1). In situ monitoring of the temperature induced

on the sample is possible and the behavior of the temperature can be controlled during all three phases of the annealing process: the heat up ramp, the steady state and the cooling process. For these reasons annealing in RTP can be performed at temperatures just below the ODT temperature for very short time periods, thus minimizing the effect of degradation on the thin film of both the RCP and BCP while reducing the viscosity of the polymeric film. In addition, the fast cooling rate should allow non-equilibrium morphologies to be efficiently frozen below the glass transition temperature (Tg).41

(50)

14

2.1.3.1 Methods for pattern transfer

In a standard photolithography process, illustrated in Figure 2.4, a thin layer of a photosensitive polymer, or photoresist, is applied to a surface, for example by spin coating. One then selectively exposes some areas of the photoresist by shining light through a previously fabricated photomask. When washed in a developing solution, either the exposed areas (for a positive photoresist) or unexposed areas (for a negative photoresist) are preferentially dissolved away. The result is a patterned photoresist layer in which some areas of the original surface remain covered with photoresist, while other areas are left bare. At this stage, the pattern now in the photoresist layer can be transferred to other materials by such means as plating, liftoff, or etching, after which the remaining photoresist can be removed.9

(51)

15

Figure 2.4 Standard photolithography and pattern transfer for a positive photoresist system. (a) Exposure and developing. (b) Plating: the surface is exposed to a liquid containing metal ions, which are preferentially reduced and deposited on the bare areas. The preferential deposition is either due to chemical specificity (in which case

the photoresist acts as a simple physical barrier), or, in the case of electroplating, a DC voltage is applied to the surface, in which case the photoresist acts as an electrical insulator. After plating, the photoresist is removed, typically by washing with a solvent. (c) Liftoff: a thin layer of another material is deposited over the entire

surface, for instance by thermal evaporation or sputtering. When the photoresist is removed by washing with a solvent, material is left only where it was deposited on bare areas. (d) Etching: the photoresist is applied on the top of a thin layer of another

material. After patterning, the photoresist acts as a protective barrier to either wet chemical etching, or dry etching by a plasma (reactive ion etching, or RIE). After

etching, the photoresist can be removed by a solvent.9

In block copolymer lithography, illustrated in Figure 2.5, a surface is coated with a thin layer of a copolymer, which functions analogously to the photoresist. But rather than reproducing the pattern from a photomask, the block copolymer produces its own pattern through

(52)

self-16

assembly into one of its equilibrium. One type of domain (e.g., either the cylinders or the matrix in a cylinder-forming block copolymer) is then selectively removed, analogous to the developing step in standard photolithography. This selective removal of one the copolymer domains is the real crux of pattern transfer in block copolymer lithography, and can be accomplished in a variety of ways for different copolymer systems.

Figure 2.5 Block copolymer lithography. (a) Disordered copolymer film as cast on a substrate. (b) After annealing, self-assembly into ordered microdomains. (c) After

(53)

17

Once one type of microdomain within the copolymer film has been selectively removed, pattern transfer can continue using either plating, liftoff, or etching techniques. In principle, these techniques are the same for both photolithography and block copolymer lithography, though in practice the smaller feature size of the latter can present some additional challenges.9

2.1.3.2 Etch techniques

Once the proper nanodomain pattern is created, the block copolymer thin film can act as a nanolithographic mask, allowing the pattern to be transferred to an appropriate material for the intended application, by selectively removing one type of nanodomain. Selective domain removal can be produced either using chemical processing, often called wet etching, or by plasma (dry) etching techniques, such as reactive ion etching (RIE).72

Commonly used chemical wet etch techniques employ light, reagents, or ozonolysis to selectively degrade one of the blocks in the copolymer, followed by rinsing with suitable solvent to remove the degraded fragments. For example, in PS-b-PMMA, the PMMA blocks can be readily removed with ultraviolet (UV) irradiation followed by rinsing with acetic acid.73. The UV radiation degrades the PMMA domains and cross-links the PS blocks, such that rinsing with acetic acid removes only the PMMA fragments; when PMMA is the minor block, forming spheres or cylinders perpendicular to the substrate, this process yields a PS film containing a dense array of cavities or perforations.

(54)

18

Most of the polymer blocks that have been used as nanolithography templates are composed of C, H, O, and N, and show very little contrast in the etch rates between their blocks in either CF4 or O2 etching.

However, a study by Asakawa and Hiraoka74 demonstrated etch contrast ratios of about 1:2 for PS-PMMA in CF4, O2, H2, and Ar plasmas,

presumably reflecting the same tendency towards depolymerization which PMMA shows upon UV exposure.

2.1.4 CONTROLLED RADICAL POLYMERIZATION (CRP)

While, the synthesis of BCPs is an established field with many major advances over the last 50–60 years, more recent efforts in controlled polymer synthesis now enable the preparation of a wide range of BCP architectures including, linear, graft, dendritic, star-like, bottle-brush, hyperbranched, and cyclic BCPs.75 All of these macromolecular structures have unique and interesting self-assembly behavior; however, given the diversity of available architectures, the following session will focus only on the synthesis of linear BCPs, which still possess a myriad of opportunities to advance functional materials design.10

Living anionic polymerization was discovered by Michael Szwarc and this innovation had a tremendous effect on polymer science.76 He is considered the father of modern nanotechnology.77 His work facilitated the main developments in both synthetic polymer chemistry and polymer physics and it opened an avenue to the production of well-defined polymers with precisely designed molecular architectures and nanostructured morphologies.78,79,80,81,82

Riferimenti

Documenti correlati

Potentially dangerous birds for aviation on the territory of the Omsk Airport marked 8 species: gray partridge, rock dove, gray pigeon, carrion crow, rook, common magpie,

The unity vision is a progressive step of establishing a common market and approximating economic policies through ever tighter economic integration resulting in full

© The Author(s). European University Institute. Available Open Access on Cadmus, European University Institute Research Repository... demands) For small events, the

From a cultural point of view, a concept such as that set out by Francesco Carnelutti is the product of a time where the central position that the State took on in the legal order

The purpose of this study was to evaluate the efficacy, safety and tolerability of OnabotA as a prophylactic therapy in patients with rCM and observe the influence of the type of

Frammentazione e dispersione determinate dalle forme di urbanizzazione e dalla loro evoluzione sono descritte, in questo Rapporto, attraverso un’analisi della densità del

In addition, the former also show NOE contacts to imino protons of G2 residues, whereas the latter exhibit NOE contacts to imino protons of G4 residues in the major (ca. It

Both open repair/bypass surgery or percuta- neous trans-luminal angioplasty (PTA) are effective revascularization approaches, and the choice is based upon the number, length