• Non ci sono risultati.

Deposition and characterization of silicon-germanium heterostructures for thermoelectric devices

N/A
N/A
Protected

Academic year: 2021

Condividi "Deposition and characterization of silicon-germanium heterostructures for thermoelectric devices"

Copied!
134
0
0

Testo completo

(1)

Dipartimento di Fisica

Dottorato di Ricerca in Fisica

XXV ciclo

Deposition and Characterization of

Silicon–Germanium Heterostructures for

Thermoelectric Devices

Supervisor: Dr. Giovanni ISELLA

Tutor: Prof. Franco CICCACCI

Coordinator: Prof. Paola TARONI

Stefano Carlo CECCHI

(2)

Contents

Introduction 1

Structure of the Thesis . . . 2

1 SiGe Epitaxy 5 1.1 Silicon-Germanium . . . 5

1.2 Strain Related Phenomena . . . 7

1.3 Virtual Substrate . . . 15

1.4 Strain Compensation in Multilayers . . . 17

1.5 Thermal Strain . . . 18

1.6 LEPECVD Growth Technique . . . 18

1.7 Material Characterization . . . 23

2 X-ray Diffraction 25 2.1 Scattering theory for multilayers . . . 26

2.1.1 Kinematical theory . . . 26

2.1.2 Dynamical Theory . . . 32

2.2 Experimental Configuration . . . 32

2.3 Instrumentation . . . 38

3 Thermoelectricity: Basic Concepts and Multilayer Approach 41 3.1 Basics . . . 41

(3)

3.2 Efficiency Enhancement in Multilayer Structures . . . 48

3.2.1 Electrical Properties Optimization . . . 49

3.2.2 Thermal Properties Optimization . . . 50

3.3 SiGe Based Thermoelectrics . . . 53

4 Lateral Structures: Experimental Results 55 4.1 Heterostructures Design and Growth . . . 55

4.1.1 Buffer Optimization . . . 58

4.1.2 Active Layer . . . 66

4.2 Heterostructures Characterization . . . 69

4.2.1 High-Resolution XRD Measurements . . . 70

4.2.2 High Resolution and Scanning TEM Measurements . 85 4.3 Thermoelectric Characterization . . . 89

4.3.1 Lateral Device . . . 90

4.3.2 Results . . . 93

5 Vertical Structures: Experimental Results 101 5.1 Design and Growth . . . 102

5.1.1 n-type Vertical Structures . . . 105

5.1.2 p-type Vertical Structures . . . 109

5.2 TEM Preliminary Measurements . . . 112

Conclusions 117

Acknowledgments 121

(4)

Introduction

The increasing demand of energy, together with the environmental impact of fossil fuels in global climate change, have opened in the last decades a large discussion aimed to identify strategies to improve the sustainabil-ity of our energetic system. As an example, the energy consumption of data centers (currently 1.1–1.9% of the US electric energy production is consumed by US based data centers [http://www.eco4cloud.com/energy-consumption], is continuously increasing. Since a predominant portion of this energy is needed for cooling, the efficiency in computing systems has become a major issue for the future ICT. While fundamental research is focusing on new materials and new concepts development which could over-come nowadays electronics, a parallel approach consists in coupled systems capable of efficiency harvest wasted energy.

Germanium and silicon-germanium alloys have attracted in the last two decades the attention of the scientific community in many fields of techno-logical research, such electronics, photonics, spintronics and more recently energy harvesting. The reason for such revamped interest is the improve-ments achieved in SiGe growth and fabrication technology, enabling the de-position of high quality epitaxial material and engineered low dimensional structures directly on Si. Indeed the strength of using the well-established and low-cost SiGe production techniques is the complete integrability with

(5)

complementary metal-oxide semiconductor electronics based on Si.

In the field of thermoelectricity SiGe can play a primary role. For high temperature applications (above 900 K) SiGe alloys have the best ther-moelectric efficiency and have been successfully introduced in radioisotope thermoelectric generator modules for space missions. Even though the ef-ficiency at room temperature for the best SiGe alloy is substantially lower than that of other materials, the integration with Si-based devices together with the sustainability compared to the rare and often toxic materials used in state-of-the-art thermoelectric modules are key factors for the choice of SiGe. Integrated cooling and energy harvesting modules could be cou-pled to electronic devices, solar cells and autonomous systems such remote sensors.

Structure of the Thesis

In this thesis the growth and the characterization of Ge-rich SiGe multi-layer structures, designed for the optimization of the thermoelectric prop-erties both in the in-plane and cross-plane directions, will be presented. Low-energy plasma-enhanced chemical vapor deposition (LEPECVD) tech-nique has been used for the epitaxial deposition of the material. Structural characterization has been carried out by high resolution X-ray diffraction (XRXRD) and transmission electron microscopy (TEM). Preliminary ther-moelectric characterization for lateral devices will also be reported.

In the first chapter SiGe epitaxy, strain management in layered het-erostructures and LEPECVD growth technique are presented.

In the second chapter the theoretical background for the understanding of XRD spectra from multilayer structures is set, together with a

(6)

descrip-3 tion of the diffractometer employed.

The third chapter is an introduction to thermoelectricity and to effi-ciency enhancement concepts based on the use of low dimensional struc-tures such as multilayers.

The fourth chapter follows the progresses achieved in the growth, the structure optimization and the characterization of the in-plane multilayer structures. The preliminary characterization of the thermoelectric prop-erties of the material, which has not been one of my direct activities, is presented omitting the details about the fabrications but pointing out the main findings.

In the fifth chapter the recent activity concerning cross-plane multilayer structures is described. At present, standard XRD and few preliminary TEM data are available.

(7)
(8)

Chapter 1

SiGe Epitaxy

As pointed out in the introduction, the main advantage in using SiGe-based devices is the complete integrability of SiGe with the Si platform, favored by the availability of a robust and cheap epitaxial technology. Before in-troducing the principal concepts (and constrains) in SiGe epitaxy, let us introduce the general definition of epitaxy.

Epitaxy, from the greek roots epi, “meaning above”, and taxis, meaning “in ordered manner”, is the oriented growth of a single crystalline overlayer, called epilayer, on top of a single crystal substrate. Depending on the de-posited materials, the vertical resolution achieved with current deposition techniques can reach the monolayer level.

The following discussion has been mainly based on the books of J. Ayers [1] and E. Kasper and D. J. Paul [2].

1.1

Silicon-Germanium

Silicon and germanium, both group IV elements in the periodic table, have a diamond structure (see Fig. 1.1), which is defined by two interpenetrating

(9)

Figure 1.1: Diamond crystal structure. The atoms are tetrahedrally bonded with covalent bonds to their four nearest neighbors.

face-centered cubic Bravais lattices displaced along the body diagonal by one quarter the length of the diagonal [3]. Alternatively, the diamond lattice can be seen as a single face-centered cubic lattice having a two point basis one in the origin (0, 0, 0) and the other in a(1

4, 1 4,

1

4) where a is

the lattice constant.

SiGe is a substitutional alloy, meaning that in an ideal crystal with no vacancies and interstitial defects a Ge atom in the crystal occupies the position of a Si atom in the diamond unit cell with no self-ordering. This is the main reason for the impossibility in SiGe epitaxy to achieve atomic layer control.

The crystal lattice parameter for pure Si and Ge differs of about 4% (at 300 K aSi = 5.431 Å and aGe = 5.658 Å). For SiGe alloys, the lattice

parameter has a monotonic behavior between the ones of the two materials. Vegard’s law, a linear interpolation, can be used committing a rather small overestimation:

(10)

Strain Related Phenomena 7 The accepted lattice parameters values for SiGe alloys at 300 K have been reported in the work of Dismukes [4]. The analytical expression of the lattice parameter as a function of the Ge content in the alloy calculated from Dismukes data is the following:

aSi1−xGex = aSi+ 0.1992x + 0.02733x

2(Å) (1.2)

1.2

Strain Related Phenomena

The lattice mismatch between silicon and germanium, constituting the main difficulty for the epitaxial deposition but also giving the opportu-nity to efficiently engineer the strain in thin films, is calculated as follows:

f = aGe− aSi aGe

= 4% (1.3)

where usually silicon is the substrate while germanium (or SiGe alloys) are deposited on it. The in-plane strain ǫk in the deposited layer is defined as

the variation of lattice parameter (lattice deformation) using in most cases as reference the relaxed lattice:

ǫk =

ak− arel

arel

(1.4) In order to compare the relaxation of a material independently of the reference lattice the degree of relaxation R is defined:

R = ak− asub abuk− asub

(1.5) For a fully relaxed layer R = 1, for fully pseudomorphic layers R = 0.

The release of the elastic energy has strong consequences both on the morphology and on the electronic and optical properties of the materials. The accomodation of such energy in mismatched single crystalline materials occurs via the following phenomena:

(11)

• elastic accommodation (pseudomorphic growth, islands nucleation); • plastic accommodation via nucleation of misfit dislocations at the

interface; • bending;

• surface roughening; • cracks.

In this work the first three behaviors will be shortly discussed. A con-venient approach in describing the strain relaxation process is to follow the deposition step by step. Let us consider a silicon substrate and a SiGe epilayer (larger lattice parameter). The first monolayers grow coherently with the substrate, meaning that the in-place lattice parameter is equal to the one of the substrate. This compression in the interface plane gives rise to an expansion iof the out-of-plane lattice parameter (see Fig. 1.2).

Figure 1.2: Coherent or pseudomorphic growth for a material having a lattice parameter larger than the substrate (i.e. Ge or SiGe on Si substrate). The cubic structure is distorted into tetragonal.

This biaxial strain, defined by the following strain components, results in the deformation of the crystal cell from cubic to tetragonal.

     ǫk = −f = E1(1 − ν)σ ǫ⊥ = −2ν1−νσ (1.6)

(12)

Strain Related Phenomena 9 where ν is the Poisson’s coefficient, E is the Young modulus and σ is the in-plane stress (for biaxial deformation σx = σy = σ, σz = 0). The mismatch

corresponds to the maximum in-plane strain attainable during deposition. The specific elastic energy accumulated in the film from the deformation is proportional to the square of the in-plane strain and to the thickness of the layer, that is, as expected the deposition of more material corresponds to an increase in the energy which has to be released:

Eel,s =

E 1 − νǫk

2t (1.7)

where t is the thickness of the deposited film. Assuming a finite substrate, the presence of a strained layer on top of it results in the bending of the whole wafer. The radius of curvature depends on the ratio between the thickness of the deposited layer and the substrate, their elastic constants and the in-plane strain. The following expression for the curvature 1

ρ (with

ρ radius of curvature) assumes equal elastic constants. 1

ρ = 6ǫ t2

ts

(1.8) where ts is the substrate thickness.

Depending on both the mismatch between the substrate and the de-posited material and the growth temperature (kinetic processes are in-volved), the scenario can evolve in two different situations.

For highly mismatched materials, the growth moves from 2D to 3D with the nucleation of islands (since this work is focused on 2D SiGe het-erostructures, the islanding process is shortly introduced). The islanding of the epitaxial layer elastically releases the strain allowing the material in the islands to gradually reach the unstrained lattice parameter on the top part (see Fig. 1.3). For SiGe alloys the growth mechanism is the so called Stranski-Krastanov, where an initial 2D wetting layer of few atomic

(13)

Figure 1.3: Schematic of the lattice deformation ieqnon the case of Straski-Krastanov growth, where a wetting layer of few coherent mono-layers is followed by a strain induced island nucleation (elastic relax-ation). The blue and red lattices are the substrate and the deposited material, having a larger lattice parameter (as for SiGe on Si). The yellow line correspond to the heterointerface.

monolayers is followed by a strain-induced nucleation of islands. When more material is deposited the shape and the dimension of the islands evolve until strain cannot be accommodated elastically anymore and plas-tic relaxation with the introduction of dislocations in the islands begins (described later in the case of layer-by-layer growth). finally the relaxed islands coalesce to form again a continuum and often rough film. For the growth of high quality films this mechanism has to be avoided since the for-mation of islands and the following relaxation and coalescence correspond to a far more disordered situation and the density of defects is usually much higher.

Providing the mismatch between the two materials is moderate, or alter-natively reducing the growth temperature to control the kinetic of atoms,

(14)

Strain Related Phenomena 11

Figure 1.4: Schematic of few monolayers of SiGe grown on Si substrate in case of coherent elastic relaxation (a) and plastic relaxation with the introduction of misfit dislocations (b) [5].

the layer-by-layer growth continues and more energy is elastically accumu-lated in the film (Fig. 1.4a). For a specific energy, corresponding to the so called critical thickness hc of the deposited film, it is energetically

con-venient to form misfit dislocations at the interface between the materials in order to release the strain (see Fig. 1.4b). This mechanism is known as plastic relaxation and leads the deposited material to recover its orig-inal lattice parameter. The nucleation of dislocations continues with the deposition and an equilibrium situation is reached.

Let us now briefly describe the nature of dislocations and then consider the effects of the nucleation of misfit dislocations in the crystal. A disloca-tion is a linear defect along which the interatomic bonding are disturbed relative to the case of a perfect crystal. The local strain field introduces a distortion in the lattice geometry. As shown in Fig. 1.5, dislocations are characterized by a dislocation line l, a Burger vector b, which defines the deformation field, and a glide plane. While the dislocation direction l may change, the Burger vector is conserved. Provided the Burger vector be-longs to the glide plane, dislocation movements are favorable in this plane

(15)

Figure 1.5: Schematic of a 60◦ dislocation, typical for the plastic relax-ation of SiGe alloys deposited on a Si substrate. The misfit dislocrelax-ation, laying at the heterointerface along the dislocation line l, ends with two threading dislocations which reach the crystal surface along the glide plane ((111) for 60◦ dislocations). The glide plane is shown in gray.

(dislocation gliding) since it is characterized by a low density of interplanar bonds, while movements outside this plane (dislocation climbing) are more difficult and require the presence of point defects. For dislocations having b out of the glide plane, called sessile dislocations, the gliding process is not allowed.

The angle between the Burger vector and the dislocation line determine the character of the dislocation, edge when b and l are perpendicular, screw when they are parallel. Mixed character dislocations, like the typical 60◦

dislocation having a 60◦ angle between b and l, are more common. In

diamond structure, in the case of (001) heteroepitaxy the misfit disloca-tions form along the (110) direcdisloca-tions in the plane of the interface. For heteroepitaxial layers with moderate strain (|f| < 1%), most of the misfit dislocations have a 60◦ character, with Burger vectors of the type a

2h101i

and (111) glide planes. In highly mismatched heteroepitaxial layers the misfit dislocations are predominantly edge aligned with the (110) direc-tions.

(16)

Strain Related Phenomena 13 The introduction of misfit dislocations reduces the energy in the de-formed film but since it is a crystal defect introduces itself an extra energy in the system. The equilibrium situation for partially relaxed layers corre-sponds to the minimum energy configuration achievable. In the presence of a dislocation network the relationship between the lattice mismatch and the strain is modified as follows:

f + ǫ = b

p (1.9)

where b′ is the effective burger vector, which is the projection of b into the

interface and correspond to the net displacement of the atoms, ad 1 p is the

number or dislocations per unit length.

Following the approach of People and Bean, which is valid for SiGe alloys [6, 7], it is possible to define the specific energy of a dense network of misfit dislocation as follows:

ED = Eb2 8π√2af ln  h b  (1.10) where af is the lattice parameter of the deposited SiGe film, h is the

thick-ness of the epilayer and b is the length of the Burger vector b. The critical thickness hc can then be calculated by minimizing the total energy:

hc =  1 16π√2   1 + ν 1 − ν  b2 ǫk2 1 af ln  hc b  (1.11) For brittle materials such as Si and Ge, a metastable region correspond-ing to a partially relaxed film where less dislocations are introduced with respect to the calculated equilibrium situation is also present. The experi-mental critical thickness depends also on the growth temperature. Fig. 1.6 shows the critical thickness for SiGe alloys grown on a Si substrate. For pure Ge on Si the critical thickness is less than 2 nm.

(17)

1 10 100 1000 0 0.2 0.4 0.6 0.8 1 Critical thickness (nm) Ge fraction x (Si 1-xGex)

relaxed with dislocations metastable

stable

Figure 1.6: Calculated critical thickness for SiGe alloys deposited on Si substrate. The white and black areas correspond to coherent and plastically relaxed film respectively. The stable region is defined using the Matthews and Blakeslee model [8]. The metastable region (grey area) is always present in SiGe systems and is defined using People and Bean model.

Dislocations can be nucleated only if point defects acting as sources are present and also have to be bounded to a defect. Since the crystal is not infinite, the most favorable defect available is the crystal free surface. In ideal case a regular network of dislocations lays at the interface with uninterrupted dislocation lines reaching the lateral surface of the crystal. However, since the nucleation of dislocations is randomly arranged on the wafer, and moreover the two networks forming at the interface interact impeding the gliding to the edges of the wafer, the networks can not be regular. So called threading arms laying in the glide plane and reaching the top surface of the crystal have to be formed (see Fig. 1.5). While the linear density of dislocation is settled by the mismatch, the length

(18)

Virtual Substrate 15 of the misfit segments, and therefore the density of threading dislocations, strongly depends on this disorder at the interface. While misfit dislocations release the epitaxial strain, threading dislocations do not contribute to relaxation and the dislocation energy, which is related to the length of the dislocation, is increased. Moreover, since threading arms reach the surface, in real devices an high threading dislocation density (TDD) has to be avoided since dislocations act as trapping or scattering points for carriers and degrade the performances of devices.

1.3

Virtual Substrate

For the growth of strain-engineered SiGe devices, specially in the Ge-rich case, it is important to previously accommodate part of the lattice mis-match between the Si substrate and the Ge content in the heterostructure. Since high quality SiGe wafers are not available, a relaxed SiGe buffer layer acting as virtual substrate for the active structure has to be grown onto the Si wafer.

As explained previously, when high mismatch is present a disordered network of short misfit segments giving an high TDD is typically formed (TDD > 109 cm−2). For SiGe alloys the annealing procedure is not as

efficient as for pure Ge layers since it increases substantially the surface roughness.

When the thickness of the buffer is not an issue for the fabrication or the working of the device, it is possible to efficiently reduce the TDD to much lower values (few 106 cm−2) by grading the composition of the alloy in the

buffer layer, in such a way that the lattice parameter is continuously varied from pure Si to that of the desired SiGe alloy. This approach has been firstly

(19)

Figure 1.7: An AFM picture of the surface of a SiGe graded layer, showing the typical cross-hatch roughness. For this sample the grading rate is 7%/µm and the final composition xf = 90% for a total thickness

of ∼13 µm. The measured rms roughness is 1.45 nm.

introduced in SiGe epitaxy by S. Luryi in 1984 [9] and deeply studied by Fitzgerald [10]. A linear grading around 10%/µm efficiently reduces the mismatch per unit length that has to be released and furthermore the misfit dislocations are gradually nucleated along the thickness of the graded layer. This solution constitutes a much more ordered situation allowing long misfit segments to be efficiently formed. In Fig. 1.7 an atomic force microscopy (AFM) picture of the so called cross-hatch roughness typical of graded layers is shown. This superficial roughness, induced by the strain field of the dislocations, is symptomatic of an ordered network of long misfit dislocations. Typical values for the root mean square (rms) roughness in the presence of cross-hatch are between 1 and 3 nm for LEPECVD (described in detail in Section 1.6) grown graded buffers.

(20)

Strain Compensation in Multilayers 17

1.4

Strain Compensation in Multilayers

For the growth of strained multilayer structures, important for many tech-nological applications, strain management is a crucial point in order to avoid a degradation of the crystal quality along the structure. There are two requirements for the realization of a coherently strained multilayer structure. The thickness of the individual layers have to be kept below the critical thickness, where the mismatch has to be calculated with respect to the virtual substrate, to prevent the nucleation of additional misfit dislo-cations at every interface.

For the same reason, for thick multilayers it is important to match a zero-strain situation in the overall structure by perfectly compensating compressive and tensile forces. In many cases it is sufficient to design the heterostructure to have the average composition equal to the one in the buffer layer. Considering the common case of a periodic structure featuring a bilayer repeated M times, where the two layers feature opposite strain, the optimal condition to be achieved is the so called strain-compensation expressed as follows [11]: hA hB = GA GB " aB− aA aA(aasubA − 1)− 1 # (1.12)

where hA(hB), GA(GB) and aA(aB) are the thicknesses, the bulk moduli

and the lattice parameters of the tensile (compressive) strained layers and asub is the relaxed lattice constant of the virtual substrate. To fulfill this

condition having a stable strained structure the tensile strain in the layer A has to be balanced by the compressive strain in the layer B.

(21)

1.5

Thermal Strain

Another important issue in epitaxy is the thermal induced strain. In fact, since the growth temperature has to be higher than room temperature in order to control the atomic surface diffusivity favoring a good epitaxial quality [12], for materials having different thermal expansion coefficients the post-growth cooling to room temperature ends up with the onset of strain. For Si and Ge the thermal expansion coefficients at 300 K are 2.6×10−6 K−1 and 5.7×10−6 K−1 respectively. This difference induces a

tensile thermal strain in the deposited layer which can determine bend-ing and even cracks for thick films. Specific coolbend-ing procedures can be developed in order to reduce or maintain this strain (see Chapter 4).

1.6

LEPECVD Growth Technique

All the SiGe heterostructures presented in this thesis has been deposited by low-energy plasma-enhanced chemical vapor deposition (LEPECVD) [13, 14]. The schematic of a LEPECVD reactor is shown in Fig. 1.8. This relatively recent technique has been developed and optimized for the fast growth of high quality epitaxial germanium and Ge-rich SiGe alloys and represents a unique approach in PECVD techniques.

As in standard plasma-assisted CVD, the activation energy necessary to break the gaseous precursor molecules is supplied by the plasma. This guarantees a decoupling between surface chemistry and substrate temper-ature, which means that the film composition is almost independent from the temperature and is mainly defined by the gases mixture in the chamber and their behavior in the plasma.

(22)

possi-LEPECVD Growth Technique 19 Primary coil Plasma source Primary coil Turbo pump “Wobblers” “Wobblers” Load lock Argon plasma Anode plate Wafer stage Wafer Gas inlet

Figure 1.8: Schematic of a LEPECVD reactor.

bility of regulating the growth rate independently from the substrate tem-perature, which in most cases is a limiting factor in thermal-CVD process and prevents the achievement of optimal crystal quality. The growth tem-perature can thus be lowered in order to optimize the epitaxial quality of the deposited material, the strain (in the case of heteroepitaxy) and the doping profile, while the growth rate is controlled by changing the plasma density and the gases fluxes.

Due to the out-of-equilibrium growth regime which characterizes the technique (determined by the high growth rate), the epitaxial layers show a superior smoothness compared to competing techniques (i.e. UHVCVD). These properties make LEPECVD technique particularly appropriate for the deposition of relatively thick high quality SiGe heterostructures. In the case of standard flat substrates the limiting factor which determines the maximum thickness of the deposited film is the thermal strain which

(23)

induces cracks in the material. It has been recently demonstrated that the use of a pre-patterned Si substrate can remove this constrain allowing the elastic release of the thermal strain [15]. Ge crystals as thick as 50 µm has been indeed grown following this approach.

In a LEPECVD reactor a dense low-voltage argon plasma activates the gaseous precursors, SiH4 and GeH4 for Ge and SiGe alloys growth, PH3

and B2H6 for n- and p-type doping respectively, H2 as a sulfactant or for

cleaning. Electrons are emitted by a hot tantalum filament, having a DC discharge set between 30 and 50 A. Thermionic emission from the fila-ment is achieved supplying an AC current of 130 A. To extract the excited charges, the filament is kept at a voltage of 30 V while the chamber (and the sample holder as well) are grounded and acts as anode for the emitted electrons. An additional anode plate inside the chamber controls the geom-etry of the plasma. The wafer is positioned in the top part of the reactor and is kept at a constant potential which differs from the chamber ground potential allowing an extra control of the impinging ion energy. Since the energy of the ions impinging on the sample is only a few eV, ion bom-bardment is not affecting the crystal quality of the deposited film allowing for high quality epitaxy. For RF PECVD the ions energy is much higher, limiting its use to the growth of amorphous or policrystalline materials.

The deposition rate, depending on both the process gas fluxes and the plasma density, can be controlled in a wide range (0.1 Å/s to 10 nm/s), allowing the growth of both thick structures and graded buffer as well as superlattices requiring a layer thickness around 1 nm. The plasma density is magnetically controlled focusing the plasma via solenoidal-like coils po-sitioned on the top and bottom of the chamber (B = 1–10 mT). Additional horizontal coils (wobblers) can be used to align the plasma to the sample.

(24)

LEPECVD Growth Technique 21 The process gas fluxes, entering the deposition chamber from a circular gas inlet positioned below the wafer stage, are regulated by mass flow con-trollers, having at present a maximum flux of 25 sccm for SiH4 and GeH4

and 10 sccm for PH3 and B2H6. The dopant gases are diluted in argon,

respectively 5% and 1%, and the maximum doping density attainable is few 1019 cm−3 for both the dopants. Pneumatic valves are used to switch

the gas lines with a minimum interval of 1 s.

The focused plasma is characterized by a bell-shaped inhomogeneity, which determines a corresponding variation of the growth rate over the 100 mm wafer. While growth rate calibration considers the average ma-terial deposited on the wafer, the thickness of the layer depends on the position on the wafer, ranging from 130% of the nominal thickness in the center to 80% at the border. Although this point prevents the use of LEPECVD in industrial processes, in research activities such a drawback could sometime be advantageous. Indeed, since the alloy composition is not changing significantly over the deposited area, a set of ideally equal samples (i.e. multilayer structures) with different thicknesses are obtained in a single deposition.

A radiation heater, consisting in a graphite grid and a boron nitride plate mounted inside the wafer stage, allows regulating the substrate tem-perature between room temtem-perature and 800◦C. The control of the

temper-ature is achieved by standard thermocouples and a proportional-integral-derivative (PID) controller. The calibration of the thermocouples is done using a pyrometer. Since the different surface diffusivity for Si and Ge atoms, the temperature for the growth of pure Si layers has to be higher than for pure Ge layers (standard values in our reactor are 750◦C for Si

(25)

linear interpolation. For multilayer structures the temperature is usually lowered in order to improve the quality of the interfaces suppressing the intermixing.

The deposition chamber has a base pressure of 10−9 mbar, while the

working pressure is much higher reaching 10−2 mbar. The pumping system

consists in a primary turbomolecular pump followed by a pre-vacuum stage (rotative pump). A small load/lock chamber is also present for the fast insertion of the samples.

Two standard growth settings are commonly used, “high rate” and “low rate”. The high rate setting, featuring an arc current of 50 A and the maximum plasma confinement achievable in the system, allows to reach growth rates from 4 nm/s for pure Si and Ge to 10 nm/s for SiGe alloys and is used mainly for the growth of thick layers as for example graded buffers. Since the gas composition inside the chamber is estimated to change over 1–3 s, fine control over layer thicknesses cannot be achieved. Nevertheless high rate has been successfully employed for the growth of multilayers featuring relatively thick layers (> 10 nm) [16]. The low rate setting, featuring an arc current of 30 A together with a weaker plasma confinement and reduced gas fluxes (Φtot = 5 sccm), fixes the growth rate to an almost

constant value of 0.25 nm/s, more indicate for the controlled growth of thin heterostructures [17]. A new setting, called “intermediate rate”, has been developed for the growth of the thick multilayers required in the EU project “Generate Renewable Energy Efficiently using Nanostructured Silicon” (GREENSi) project and will be introduced in Chapter 4.

(26)

Material Characterization 23

1.7

Material Characterization

The case of very poor crystallinity in the deposited material it is clear by eyes, since the surface appear opaque. However, in most of the cases al-though the surface looks specular material characterization is needed to check the quality of the deposited material. Such characterization is per-formed using optical microscopy, atomic force microscopy (AFM), defect etching and high resolution X-ray diffraction (HRXRD). Differential in-terference contrast microscopy (better known as Nomarski microscopy), having an improved contrast, is particularly indicated for the qualitative imaging of the surface roughness and for the counting of the particle-like defects in the film, visible as dots on the surface. Standard AFM is used as a complementary (and quantitative) tool to optical microscopy, allowing a much better resolution. Moreover, from AFM imaging it is possible to directly extract the surface rms roughness and analyze the roughness fre-quency spectrum. An AFM image showing the typical cross-hatch rough-ness introduced by the composition grading in the SiGe film has been presented in Fig. 1.7.

Figure 1.9: AFM picture of the surface of a SiGe graded layer after defect etching. Square like etch pits are clearly visible.

(27)

As explained in the SiGe epitaxy section in this chapter, for mismatched materials the relaxation process introduces dislocations in the deposited material which degrade the performances of the devices. Moreover, de-pending on the growth parameters, it could be possible for nominally the same material to sensibly vary the TDD. Defect etching is used to mea-sure the TDD in our samples: this wet etching process, having an etching selectivity to/for threading dislocations, allows the visualization by AFM and then the counting of the defects as etch pits on the material surface (see Fig. 1.9). The standard recipes we use, based on chromium and io-dine solutions for Si-rich and Ge rich alloys respectively, are described in the work of Marchionna [18]. The determination of the properties of the deposited alloys and heterostructures is mainly achieved by HRXRD and will be deeply discussed in the following chapter.

(28)

Chapter 2

X-ray Diffraction

X-ray diffraction (XRD) is a standard technique widely used in material science and engineering, allowing for the analysis of crystalline, polycrys-talline, amorphous and nanostructured materials. Nowadays, thanks to synchrotron sources, nanodiffraction and molecular crystallography are also possible.

Concerning single crystal materials, for example epitaxial layers, XRD characterization is a standard and often indispensable tool for the deter-mination of the basic properties of the material, such the chemical compo-sition, the deformation state and the crystal quality.

An increase in the complexity of the analyzed material, such for example low dimensional structures, corresponds to an increase in the complexity of the measured spectra, the understanding of which opens the possibility to extract information also about the deposited and/or fabricated nanos-tructures. Scattering theory is essential to develop simulation programs capable of fitting the measurements.

(29)

2.1

Scattering theory for multilayers

In this first section the theoretical expression of the scattered intensity for a multilayer will be calculated following Ref. [19]. The understanding of the features introduced by a periodic multilayer in XRD spectra is essential for a complete analysis of the measurements.

Two approaches can be followed to describe the scattering of X-rays in crystalline structures. In many cases kinematical scattering theory, which neglects multiple scattering events, is sufficient to reproduce high angle scattering around Bragg peaks. Increasing the dimensions and the com-plexity of the analyzed system and for small angle configurations, multiple scattering has to be taken into account. Dynamical scattering theory has then to be used.

2.1.1

Kinematical theory

In kinematical X-ray scattering theory, also known as the Born approxima-tion, only single scattering processes are taken into account. The validity of this assumption is strongly related to the analyzed material, in particular to the sample size. The scattered intensity is defined as follows:

I = Ii 2(πrelC)2 Vcell X g|| Fcell(Kg− Ki) Kgz X gz Gcryst(Kgz − fKgz) 2 (2.1) where Ii is the incoming intensity, rel is the classical electron radius, C

is the linear polarization factor, Vcell is the volume of the unit cell, Fcell

is the structure factor of the unit cell, Ki and Kg are the incident and

scattered wave vectors, g is a reciprocal space vector and Gcryst is the 1D

shape function for an infinite plate, which is a convenient way to model a deposited layer. Neglecting the effects of the chemical bonds, the structure

(30)

Scattering theory for multilayers 27 factor of the unit cell can be approximated as the sum of the scattering factors of the individual s atoms within the cell:

Fcell(Q) =

X

s

fs(Q)eiQrs (2.2)

where fs and rs are the atomic scattering factors and the position of the

atoms respectively.

The situation in single crystal experiments is much simpler, since usu-ally the scattering intensity is collected around a reciprocal lattice point and the contributions of other points is not relevant (two-beam approxima-tion). Hence the sum over the reciprocal lattice vectors g in the intensity formula reduces to only the term with g = h. This case constitutes the starting point to describe the more complex cases of epitaxial layers and heterostructures such as multilayers.

Deformed crystals

A deformation in a crystal corresponds to a displacement of the atoms con-stituting the crystal away from their equilibrium positions. A displacement vector needs to be taken into account defining the structure factor, while deformation is assumed not to influence the atomic scattering factors. In the case of a generic deformation the structure factor can be written as:

Fn,cell = e−iQun(r)

X

s

fs(Q)e−iQ(rs+un,s) (2.3)

where un(r) is the displacement of the nth unit cell and un,s is the

dis-placement of the sth atom in the cell with respect to the cell itself. If the

components of the strain tensor are far smaller than unity and no atom has moved from one unit cell to another, un,s can be neglected, giving:

(31)

This expression, known as the Takagi approximation [20], can then be introduced in the amplitude of the scattered wave in Eq. 2.1.

Scattering from multilayers

Since this work is focused on the growth and characterization of multiple quantum well (MQW) and superlattice (SL) structures, we need to define the scattering intensity for these systems. The deformation present in these structures is pseudomorphic, which means that all the deposited layers of the multilayer are coherently grown on the virtual substrate, having the in-plane lattice parameter equal to that of the virtual substrate (see Chapter 1 for details). This implies also that for all the layers the relaxation is assumed to be zero.

As a general case a multilayer featuring N layers with different thick-nesses and chemical compositions is first considered (see Fig. 2.1a). The Takagi approximation is not applied since the structure factors are also modified. Nevertheless the pseudomorphic deformation constitutes itself a simplification, introducing a unidimensional displacement along the growth direction z (perpendicular to the heterointerfaces).

Similarly to Eq. 2.1 the kinematical scattering intensity for the generic multilayer can be calculated as follows:

I = Ii 4(πrelC)2 Vcell X g|| 1 Kgz X gz N X n=1 Fcell(n)(g||, Kgz − Kiz)G(n)layer(Kgz− fKgz) 2 (2.5) where the index n indicates the nth layer in the multilayer and G(n)

layer is the

geometrical factor for the nth layer. The above formula can of course be

used also in the case of a single strained epitaxial layer, a common situation in epitaxy.

(32)

Scattering theory for multilayers 29

Figure 2.1: Schematics of a general multilayer (a) and of a periodic bilayer structure (b).

We still need to derive an expression for the scattering intensity in the case of periodic multilayers. For most of the structures required for the development of thermoelectric devices within the GREENSi project (see Chapter 4 and Chapter 5), and more generally the multilayer structures studied in many fields of research (such for example in optoelectronics), the basic structure is a bilayer repeated M times (Fig. 2.1b). The two layers A and B differs both in composition and thickness T(A,B) = n(A,B)a(A,B),

where n(A,B) is the number of monolayers in the A, B layer and a(A,B) is

the out-of-plane lattice parameter which for tetragonal distortion differs from the in-plane and the relaxed lattice paramenter. The period of the multilayer is D = nAaA+ nBaB. For the modeling of the structure it is

more convenient to calculate the displacement of the atoms with respect to the average lattice, which gives again a z-dependent displacement function. While the in-plane lattice parameter is the same for the two layers and equal to the substrate lattice parameter, the average vertical lattice parameter is

(33)

defined as:

hai = nA(naA+ nBaB

A+ nB)

(2.6) The scattering intensity is then calculated from Eq. 2.5:

I = Ii 4(πrelC)2 V2 cell X g|| 1 Kgz X gz Fperiod(g||, Kgz − Kiz)Gmultilayer(Kgz− fKgz) 2 (2.7) where the structure factor for the multilayer is calculated as follows:

Fperiod(Q) = Fcell(B)(Q)

e−iqBTB − 1

−iqB

+ Fcell(A)(Q)e−iqATAe

−iqATA− 1

−iqA

(2.8) where qA,B = Qzgz+ QzδA,B with δA,B being the vertical mismatch for the

layers and TA,B the thicknesses for an equivalent average layer having the

same number of monolayers in the layers A and B. The geometrical factor is:

Gmultilayer(q = Kgz− fKgz) = Gmultilayer(q) = eiqD

eiqT − 1

eiqD− 1 (2.9)

An example of simulated intensity around the (004) Bragg reflection for a GaAs/AlAs superlattice is shown in Fig. 2.2. Since the dimensions of the unit cell are much smaller than the crystal, the geometrical factor (in this formula Gmultilayer) varies noticeably faster and hence determines the

maxima in the intensity function. The main features in the intensity curve can be explained as follows:

1. the main maxima, occurring when q = 0, correspond to the Bragg condition for the reference lattice.

2. The superlattice peaks, occurring for exp(iqD) = 1. The separation of the peaks in reciprocal space, called superlattice satellite peaks,

(34)

Scattering theory for multilayers 31 is inversely proportional to the superlattice period in real space D, while the intensity profile is related to both the composition and the thickness of the constituting layers.

3. The M − 1 minima between superlattice satellites occurring where exp(iqT ) = 1. As for the satellites, the separation between these in-tensity oscillations, called thickness fringes, is inversely proportional to the total thickness of the multilayer T .

Figure 2.2: Calculated scattering intensity for a GaAs/AlAs superlat-tice. The curves 1 and 2 are calculated using respectively many-beam kinematical theory and the two-beam approximation. Even though in this second case the intensity profile differs from the exact model, the main features of the superlattice spectra (main peak, satellite peaks and thickness oscillations) are reproduced.

(35)

2.1.2

Dynamical Theory

As introduced in the previous section, in the kinematical scattering the-ory multiple scattering events are neglected. This approximation is valid only if the scattering of X-rays is weak compared to the transmission. For thick structures this is not the case, moreover in multilayer structures the reflection induced by interfaces has to be taken into account. Fully dy-namical theory provides as well a general solution for an epitaxial layer, even though it is much more complicated, and using the approach pro-posed by Bartels et al. [21], it is possible to construct a recursive method to calculate the intensity profile for superlattices, based on Tagaki–Taupin differential equations [22]. These equations have been implemented in a Matlab package available at the X-ray group of the Institute for semicon-ductor and solid state physics (Johannes Kepler University – Linz). This simulation tool has been used for the fine characterization of the thick SiGe heterostructures required in the GREENSi project (see Chapter 4).

2.2

Experimental Configuration

For crystalline material, such as epitaxially grown SiGe heterostructures, XRD measurements consist in recording the scattered intensity around a Bragg reflection in reciprocal space, using the substrate as a reference. It will be shown in this section how this technique allows for the char-acterization of both the material and the heterostructure quality. For the XRD characterization of the deposited material coplanar, High Angle X-ray Diffraction has been used (coplanar means both the incident and scattered wave vectors lie in a plane normal to the surface of the sample). This geometry allows for the measurement of the reflections arising from lattice

(36)

Experimental Configuration 33 plane not perpendicular to the sample surface. A schematic of the recipro-cal space plane with the accessible region achievable within this geometry is shown in Fig. 2.3, where the large arc is the maximum momentum transfer defined by the X-ray source (the scattering angle must be less than 180◦)

while the 2 smaller arcs represent forbidden regions in which either the in-cident or diffracted beam would be inside the sample. In real experiments it is not possible to achieve a momentum transfer of 2K since the detector cannot be positioned in the same place as the source (dashed line in the figure).

Defining the wave vector length as |K| = 2π

λ , the longitudinal and

per-pendicular components of the momentum transfer for a generic reflection are: Qx = 2 2π λ sin(θ) sin(ω − θ) (2.10) Qz = 2 2π λ sin(θ) cos(ω − θ) (2.11)

These two equations relate the measured incidence and scattering angles with their reciprocal space coordinates. In Fig. 2.3 the positions of the reciprocal lattice points for both silicon and a SiGe alloy are also plotted, respectively as blue and yellow points. The missing lattice points corre-spond to reflections which are not allowed for the diamond structure. In a standard laboratory experiment reciprocal space maps (RSMs) around the symmetrical (004) and asymmetrical (224) or (115) Bragg reflections are probed (explained later). The zoom in the figure shows the so called relax-ation triangle for a SiGe layer deposited on a Si substrate in (115) reflection: the yellow dot, lying on the segment connecting the Bragg reflection for Si and the origin of reciprocal space, corresponds to fully relaxed SiGe while the orange dot, having the same Qk as the substrate, corresponds to

(37)

fully strained (pseudomorphic or coherent) SiGe. Any points which lie in between these two cases indicate partial relaxation.

Figure 2.3: Schematic of the accessible reciprocal space for Si and SiGe. A qualitative triangle relaxation is also shown as a zoom of the (115) reflection.

As introduced in the beginning of this chapter, XRD is a powerful tool in the analysis of epitaxially deposited materials. Reciprocal space map measurements have been used to characterize both the material itself and the quality and features of the heterostructures.

Concerning SiGe epitaxy, since there is always an experimental devi-ation in the composition and thickness of the deposited material when compared to the nominal settings, it is necessary to extract from the mea-surements both the Ge content and the strain in the alloy. Each point in reciprocal space inside the Si–Ge relaxation triangle area corresponds to a unique set of lattice parameters (ak and a⊥) from which it is possible

to calculate the composition and the strain of the alloy, once the elastic constants as a function of the Ge content x are known. Since in symmetric reflections Qk = 0, a single RSM around such a Bragg peak is not enough to

obtain both the alloy composition and the strain, while instead an asym-metric reflection would allow in theory the calculation of both pieces of information. Practically this is not the case, since the crystal lattice of the

(38)

Experimental Configuration 35 deposited material can be slightly tilted compared to the substrate, which cannot be distinguished from strain in an asymmetric RSM. This crystal tilt is instead easily measured in symmetric RSM as a Qk shift between

the alloy and the substrate peaks (see Fig. 2.4a). The tilt in the deposited material is related to the crystal tilt of the substrate itself: the presence of atomic steps on the surface of the substrate induces an asymmetric nucle-ation of dislocnucle-ations which gives rise to the measured tilt. For this reason it is necessary to perform both measurements: the tilt obtained from the symmetric RSM is used to correct the Qk values in the asymmetric map.

Fig. 2.4a and 2.4b show typical RSMs obtained respectively around the symmetrical (004) and asymmetrical (224) Bragg reflections for a Ge/SiGe multilayer grown on top of a thick relaxed graded buffer acting as virtual substrate for the stack (see Chapter 1) on a Si substrate. Beside the Si peak, a large intensity peak corresponding to the graded buffer and a periodic series of so called satellite peaks from the multilayer can be observed.

Another relevant issue is assessing the epitaxial quality of the material. In the kinematical approximation a perfect infinite crystal gives rise to a Dirac delta function in reciprocal space, which in finite crystals becomes a sinc2 function. The presence of misoriented multicrystals or amorphous

phase material introduce diffuse scattering which smears out the intensity increasing the FWHM of the peaks. The presence of misoriented multicrys-tals or amorphous phase material introduce diffuse scattering which smears out the intensity increasing the FWHM of the peaks. The presence of dis-locations also contributes in defining the shape of the peaks in reciprocal space. It has been demonstrate by Kaganer [23] that different types of dislocations and their ordering in the layer give rise to specific asymmet-ric deformations of the Bragg peaks along Qk and Qz. As introduced in

(39)

−0.05 0.00 0.05 Qy ( ◦ A−1) 4.3 4.4 4.5 4.6 Qz ( ◦−A 1) 0.5 1.0 1.5 2.0 2.5 3.0 3.5 4.0 4.5 5.0 log(Int) (cps) a. −3.35 −3.30 −3.25 −3.20 −3.15 −3.10 −3.05 Qy ( ◦ A−1) 4.35 4.40 4.45 4.50 4.55 4.60 4.65 4.70 Qz ( ◦ A − 1 ) 0.5 0.9 1.3 1.7 2.1 2.5 2.9 3.3 3.7 4.1 4.5 log(Int) (cps) b.

Figure 2.4: Reciprocal space maps around (a) the (004) symmetric and (b) the (224) asymmetric Bragg reflections for a Ge/SiGe multilayer grown on a thick graded buffer on Si wafer. The symmetric map is used to extract the crystal tilt, clearly visible as a Qk shift between the Si peak and the deposited material peaks, in order to correct the asymmetric map. In Figure (a) the Qk tilt is underlined by dashed lines (green for the Si peak, purple for the buffer and the multilayer peaks). Chapter 1, the tensile thermal strain developed during the cool down from the deposition temperature to room temperature is partially released by substrate bending. As shown in Section 4.3.1, since the fabrication of the devices used to characterize the material is delicate and requires low radii of curvature, measurement of the bending is needed. This measurement is fast and straightforward since the bending can be easily calculated from the shift in the ω position of the substrate (004) Bragg peak across the wafer using the following geometrical expression:

Rcurvature = 180◦ π x ω − ω0 (2.12) where x is the the position on the wafer respect to the centre. Assuming a symmetric bending across the wafer, it is sufficient to measure the bending along one direction. In order to take into account local deformations of the wafer which do not follow the simple curvature sketch, the ω shift with

(40)

Experimental Configuration 37 respect to the center of the wafer is measured every 10 mm along a specific direction on the wafer and the wafer curvature radius is then calculated by averaging the curvature radii (see Fig. 2.5).

x−scan−2.0deg−rocking−40m 1 1.xrdml 34 34.1 34.2 34.3 34.4 34.5 34.6 34.7 34.8 ω [ ° ] −50 −40 −30 −20 −10 0 10 20 30 40 50 x [ mm ] 103 104 105 106 107 108

Figure 2.5: Shift of the omega peak across the x direction of the wafer for a Ge/SiGe multilayer grown on a thick graded buffer on Si wafer. It is clear for this sample the bending is not uniform, giving in the center of the wafer a double peak.

As introduced previously and shown in Fig. 2.4, the XRD spectra of periodic multilayers are characterized by the presence of so called satellite peaks. The multilayers have been characterized following these points:

1. From the position of the 0th order peak, corresponding to the

aver-age lattice parameter, the averaver-age strain and Ge composition can be calculated.

2. The period of the satellite peaks is inversely proportional to the real-space period D of the MQW structure and hence can be used to calculate the period and the total thickness of the stack (needed for

(41)

the fabrication process). Indeed, as explained in Chapter 1, since the plasma is not uniform over the 100 mm wafer and the growth rate varies noticeably, the effective period ranges from 130% of the nomi-nal period in the center of the wafer to 80% at the border. Performing radial measurements around the (004) Bragg point across the wafer it is then possible to build a “real space” map of the multilayer period (presented in more detail in Chapter 4).

3. The maximum satellite order detectable is related to the overall pe-riodicity of the stack. Actually, since the multilayer XRD spectra are very sensitive to the structure design, a comparison between differ-ent structures is not possible. However the Qz separation between

the 0th order peak and the highest order satellite can be kept into

consideration.

4. The Qz FWHM of the satellites is also related to the multilayer

pe-riodicity. Indeed it is inversely proportional to the vertical coherence of the stack.

5. The intensity envelope of the satellites gives information about details of the multilayer such the composition and the thickness of the single layers forming the unit cell. This fine characterization is achieved by fitting the measured radial curve with a simulated spectrum. Exam-ples of these simulations are presented in Chapter 4.

2.3

Instrumentation

The instrument we have used for the characterization of the SiGe epitaxial layers and heterostructures is a PANalytical X’Pert PRO Materials

(42)

Re-Instrumentation 39 search Diffraction system (Fig. 2.6). The characteristics of the instrument are summarized as follows:

1. source: the system is equipped with a Cu Kα1 X-ray source (λ =

0.15406 nm) followed by an hybrid monochromator (a mirror and a two bounce asymmetric Ge (220) channel) giving a high intensity beam (for a Si wafer the common intensity for the symmetric (004) Bragg reflection is as high as 107 counts/s). An automatic attenuator

is also mounted in order to protect the detectors from high intensity (> 106 counts/s) beams.

2. goniometer: the sample holder is mounted on a high precision go-niometer allowing the control of the x–y–z position, the ω angle be-tween the incoming beam and the sample surface, the scattering angle 2θ, the tilt angle χ and the azimuthal angle φ. The maximum angular resolution for the angles ω and 2θ is 0.0001◦.

3. Detectors: a single point Xe detector with an angular aperture of 1◦is

used for the alignment of the substrate peak while a second identical detector preceded by a Ge analyzer crystal is used for triple-axis high resolution measurements. Although RSM measurements using a single point detector are quite slow, for the standard characterization of the grown material 10 hours are more than enough.

(43)

Figure 2.6: The PANalytical X’Pert PRO Materials Research Diffrac-tion system. From right to left, the Cu Kα1 source (purple), the hybrid

monochromator (green), the goniometer on which is mounted the sample holder (blue) and the point detectors (orange).

(44)

Chapter 3

Thermoelectricity: Basic

Concepts and Multilayer

Approach

3.1

Basics

Thermoelectric phenomena involve the direct conversion between thermal and electrical energy. The well known Seebeck effect, Peltier effect and Thompson effect represent the most common way to exploit thermoelec-tricity. When a temperature gradient is applied to a thermoelectric mate-rial, charge carriers, behaving like gas molecules, diffuse from the hot side to the cold side of the material, resulting in a net charge accumulation which can be then used in power generators.

Being solid-state devices with no moving parts, reliable and scalable, thermoelectric devices are presently used in a number of applications for both turning heat into electricity, measuring temperature and using elec-tricity to produce cooling (Peltier cooling and temperature controllers)

(45)

[24–28].

Figure 3.1: A schematic of a thermoelectric module [26]. The p- and n-type active elements are connected electrically in series and thermally in parallel. The diffusion of the charge carriers from the hot side to the cold side give rise to an effective current.

To realize a thermoelectric device, it is necessary to electrically close the circuit. A standard module features several thermoelectric couples, consisting of a p-type and a n-type elements wired electrically in series and thermally in parallel (see Fig. 3.1). In a generator the temperature differ-ence sets the voltage ∆V (Seebeck effect) while the heat flow determines the electrical current I, giving the output power. In a Peltier cooler the supplied power drives the electric current and the heat flow Q.

(46)

ma-Basics 43 terial is the well known figure of merit ZT :

ZT = α

2σ

κ T (3.1)

where α is the Seebeck coefficient (also called thermopower), σ is the elec-trical conductivity, κ is the thermal conductivity and T is the average temperature between the hot and cold surfaces in Kelvin [24]. This dimen-sionless parameter has no straightforward physical meaning but it appears in the maximum thermodynamic efficiency expression:

ηmax= TH − TC TH √ 1 + ZT − 1 √ 1 + ZT + TC TH (3.2) where the first part is the Carnot efficiency ηCarnot, with TH and TC being

the temperatures at the hot source and the cold sink respectively, while the remaining part depending on the thermoelectric figure of merit takes into account irreversible losses lowering the efficiency. The higher is ZT , the closer ηmax gets to ηCarnot (see Fig. 3.2).

For the design of practical energy generator devices, not only the ef-ficiency has to be taken into account. Indeed, since the waste heat is abundant (and of course inevitable) in industrial processes, home heat-ing and automotive exhaust, the specific output power, which in the end determines the final utilization of the generated power, turns to be more significant. Hence, having the maximum generated power the following expression: Pmax = 1 2F N A L∆T 2α2σ (3.3)

where F is the fabrication factor, N is the number of legs, A and L are respectively the area and the length of the legs, a second important figure of merit is the so called power factor α2σ.

(47)

300 350 400 450 500 550 600 0,0 0,1 0,2 0,3 0,4 0,5 m a x T H (K) Carnot max (ZT = 10) max (ZT = 5) max (ZT = 3) max (ZT = 2) max (ZT = 1) max (ZT = 0.5) 0 50 100 150 200 250 300 T (°C)

Figure 3.2: Maximum thermodynamic efficiency for several values of the thermoelectric figure of merit ZT . Only for infinite ZT the efficiency matches the Carnot efficiency.

Since thermoelectric couples in a module are constituted by p-type and n-type elements, which in general have different properties, a further con-strain in practical devices is the electrical and thermal impedance matching between the elements.

The majority of commercial room temperature thermoelectric devices are fabricated from Bi2Te3 and Bi2Te1−xSbx alloys. However tellurium is a

rare element and thus its widespread use is not sustainable. SiGe alloys on the other hand are sustainable and also have the advantage of being com-patible with CMOS micropower circuits and MEMS processing, potentially allowing cheap and reliable manufacturing routes along with the ability to integrate the thermoelectrics on silicon chips meant for on-chip cooling or energy harvesting. SiGe alloys have been used for high temperature appli-cations (up to 900◦C), especially in radioisotope thermoelectric generators.

(48)

Basics 45

Figure 3.3: The thermoelectric figure of merit ZT as a function of the temperature for n-type (a) and p-type (b) state of the art thermoelectric materials [26].

room temperature, the ZT values reported for both n- and p-type SiGe materials are significantly lower with values well below 0.1.

The main difficulty in enhancing the efficiency of a thermoelectric ma-terial is the presence of conflicting parameters in the two figures of merit defined before. A good thermoelectric material should indeed be an elec-trical conductor and a thermal insulator and also should have a large ther-mopower. However, it is difficult to achieve this condition in bulk materials. The first issue is related to the power factor dependence on the car-rier concentration (see Fig. 3.4). Using an energy-independent scattering approximation, for metals and degenerate semiconductors the Seebeck co-efficient has the following expression:

α = 8π 2k2 B 3eh2 T m ∗ π 3n 2/3 (3.4) where n is the carrier concentration, m∗ is the effective mass of the carriers

and kB, h and e are the Boltzmann constant, the Planck constant and the

elementary charge respectively. A low carrier concentration gives a large thermopower. Also, mixed p-type and n-type conduction provides smaller α. Since the electrical conductivity is also related to n through the carrier

(49)

Figure 3.4: Thermoelectric parameters and figures of merit behavior versus carrier concentration for Bi2Te3 materials [26]. The maximization

of the efficiency is clearly a matter of compromise of α, σ and κ. mobility µ:

σ = neµ (3.5)

the power factor behavior presents a maximum for carrier concentrations between 1019 and 1021 cm−3, corresponding to heavily doped

semiconduc-tors. A similar trade-off governs the choice of the effective mass m∗, but

in this case it is not straightforward to identify an optimum m∗, which is

strongly related to the studied material.

Concerning ZT , also the thermal conductivity κ = κel + κph has to

be taken into account. Since in bulk materials the electrical conductivity and the electronic contribution to the thermal conductivity of an electron (hole) gas are linked by the Wiedemann-Franz law:

κel = LσT (3.6)

where L is the Lorenz factor, the maximum ZT attainable in bulk ther-moelectric devices is limited [24–28] and a reduction of the lattice contri-bution to the thermal conductivity is in the end the only possible way to

(50)

Basics 47 further improve the performances. The best material for thermoelectric applications should be a so called “phonon-glass electron-crystal”, meaning a crystalline semiconductor (having the best electronic properties) which also has to be engineered to efficiently scatter phonons.

After an intense research activity started in the late 1930s and lasted 20 years, during which the basic science of thermoelectric materials became well established and the thermoelectric material Bi2Te3 was developed for

commercialization, the physics and material science of thermoelectrics had been almost stopped by the low energy conversion efficiency of the devices. Nevertheless, a renovate interest in this field has been driven by nanostruc-tures engineering. Low dimensional strucnanostruc-tures have been proposed firstly by Whall and Parker [29] as a way to improve the figure of merit ZT. 2D, 1D and 0D structures, introducing quantum confinement effects, improve the Seebeck coefficient due to the higher density of states near the Fermi level and also could allow the independent control of α and σ [26, 28]. More-over, the use of nanostructures can provide modifications to the phonon transport properties, resulting in a significant reduction of the thermal conductivity over bulk materials. 2D superlattices (SLs) and 0D quantum dots can decrease κph significantly through additional phonon scattering

at heterointerfaces or by means of phonon filtering while preserving good electrical properties [26, 28]. This is due to the different scattering lengths for charge carriers and phonons. This second approach has demonstrated a much higher enhancement of the figure of merit ZT . Nevertheless the first strategy, giving a larger power factor, is also promising for the design of thermoelectric generators.

(51)

3.2

Efficiency Enhancement in Multilayer

Struc-tures

As already introduced in the previous paragraph, low dimensional struc-tures can be engineered to improve the thermoelectric performances of materials. Two dimensional structures such as multilayers have been in-tensively studied in order to achieve higher efficiency materials. Theory and experiments have shown that in SLs the ratio σ

κ can be much larger

than in homogeneous bulk material [30–37].

SL devices fabricated using Bi2Te3/Sb2Te3have demonstrated the

high-est performance [38], but these devices are difficult to be monolithically integrated with Si technology. Mature Si/SiGe and Ge/SiGe growth tech-nology would potentially allow the engineering of high ZT materials in-tegrable with Si technology. Indeed in the last years several groups have reported studies on the thermoelectric properties of Si/Ge and Si/SiGe SLs [39–44].

There are basically two possible approaches to enhance the figure of merit ZT , based respectively on the optimization of the electrical or ther-mal properties. As written in the previous paragraph, the output power of a thermoelectric device is proportional to the power factor. This is mainly the reason for choosing power factor optimized structures for the realiza-tion of useful power generators, instead of ZT optimized structures. Indeed thermal energy is not a precious energy source, because if not used it would be lost in the environment, while a standard device requires a minimum supplied energy to work (i.e. in autonomous systems between 1 µW and 1 mW).

(52)

Efficiency Enhancement in Multilayer Structures 49

3.2.1

Electrical Properties Optimization

The main concept is based on quantum confined phenomena in multilayer structures, which are expected to enhance the power factor α2σ [45].

In-deed, for multiple quantum well (MQW) structures and in-plane conduc-tion (parallel to the multilayer), the 2D carrier gas in the QWs not only im-prove the Seebeck coefficient but also allow enhancements to the electrical conductivity though higher mobility from modulation doping [17, 46, 47]. In addition, the presence of interfaces affects the scattering of phonons, reducing the lattice contribution to the thermal conductivity. Although the carriers contribution to the thermal conductivity κel is also modified,

the overall effect of the MQWs on the figure of merit is favorable. This idea was proposed for the first time by Whall and Parker [29] and then theoretically investigated by Hick and Dresselhaus [45]. Most of the ex-perimental activity presented in this thesis is based on this concept (see Chapter 4). For cross-plane direction (perpendicular to the multilayer and parallel to the growth axis), the narrower carrier energy bands charac-terizing quantum-confined structures produce higher effective masses and therefore large Seebeck coefficient [26].

The Seebeck coefficient, corresponding to the entropy carried by each charge carrier, is modified in the presence of 2D density of states. Indeed, using Boltzmann transport equation in the relaxation time approximation, the thermopower has the following expression:

α = 1 eT  hEτi hτi − EF  (3.7) where the first term in the brackets represents the asymmetry of the scat-tering relaxation time. The larger asymmetry in the 2D DOS function compared to the bulk case (provided to be around the Fermi energy level)

(53)

promotes a larger value of the Seebeck coefficient.

Other strategies which have been proposed to control the electrical properties of multilayers are the energy filtering of carriers and the carrier-pocket engineering [28]. Introducing interfaces which act as barriers for low-energy carriers, the energy distribution of carriers entering a material is restricted and the Seebeck coefficient, which depends of the excess en-ergy (E − EF), is enhanced. The system of course needs to be properly

designed to compensate the reduction in the electrical conductivity with the increase of the Seebeck coefficient (SL structures are possible candi-dates). Carrier-pocket engineered structures are multilayers in which two carriers populations of the same type are quantum confined respectively in the quantum wells and in the barrier layers.

3.2.2

Thermal Properties Optimization

Up to now, the best results in ZT enhancement have been obtained on structures engineered to reduce the thermal conductivity. It has been shown that the presence of heterointerfaces in SL structures can reduce the phonon contribution to the thermal conductivity both in the in-plane and cross-plane directions. Such reduction has been attributed to the enhanced phonon scattering induced by the interfaces. The origin of this scattering stems from the difference in vibrational properties between the constituent materials of the layers. The presence of interface roughness and defects such as dislocations also contribute to the reduction of the thermal flux and determine the nature of the scattering phenomena. Charge carriers are less disturbed by the interfaces because of the much shorter scattering length.

Riferimenti

Documenti correlati

produzione di interessi e della rivalutazione monetaria.. In questo modo non si vuole de- responsabilizzare l’Ente Locale che resta il destinatario delle conseguenze e

In effect, basic income in the form of a complementary currency (Common Income) and the fascinating possibilities to circulate economic value (Commonccoin and Santacoin) in

Con riferimento agli effetti di framing ed alla teoria del prospetto (prospect the- ory) risulta utile evidenziare come il proprietario-manager di un’impresa familiare percepisca

Oggi la tutela dell’ambiente e il governo del territorio sono attuati attraverso un insieme di strumenti normativi, programmatici, pianificatori e conoscitivi elaborati ai

versity, Marseille, France (FD, MG, VG); University IUAV of Venice, Italy (VG); Jean Moulin Lyon 3 University, IFROSS, France (CP, FD); Depart- ment of Medical Information,

In the present study peripheral bone mineral density (BMD) has been measured in patients affected by DISH and the results compared to those of a control group.. Forty-two patients

To minimise the level of phase-noise due to upconversion of low-frequency noise sources inside the circuit, the angle at which the resonator impedance-versus-frequency line and the

The experiments here presented explore the production of the hydronium ion (H3O) + and clusters (H2O)nH + after energetic ion bombardment of water ice at different